Initial Commit

This commit is contained in:
Matt Harlum 2022-04-22 18:12:23 +00:00
commit bc86047ed7
38 changed files with 94769 additions and 0 deletions

1714
Binary/GottaGoFaZt3r.jed Normal file

File diff suppressed because it is too large Load Diff

BIN
Docs/PCB.png Normal file

Binary file not shown.

After

Width:  |  Height:  |  Size: 277 KiB

BIN
Docs/Schematic.pdf Normal file

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,352 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*%
%TF.CreationDate,2022-03-26T19:57:18+01:00*%
%TF.ProjectId,GottaGoFaSDZ3,476f7474-6147-46f4-9661-53445a332e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-03-26 19:57:18*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.100000*%
%ADD11R,1.700000X1.700000*%
%ADD12O,1.700000X1.700000*%
%ADD13R,1.000000X1.000000*%
%ADD14R,1.524000X6.754000*%
%ADD15R,1.600000X1.600000*%
%ADD16C,1.600000*%
%ADD17RoundRect,0.250000X0.751301X0.167938X0.167938X0.751301X-0.751301X-0.167938X-0.167938X-0.751301X0*%
%ADD18RoundRect,0.237500X-0.237500X0.300000X-0.237500X-0.300000X0.237500X-0.300000X0.237500X0.300000X0*%
%ADD19RoundRect,0.237500X0.237500X-0.300000X0.237500X0.300000X-0.237500X0.300000X-0.237500X-0.300000X0*%
%ADD20RoundRect,0.237500X-0.380070X0.044194X0.044194X-0.380070X0.380070X-0.044194X-0.044194X0.380070X0*%
%ADD21RoundRect,0.237500X0.300000X0.237500X-0.300000X0.237500X-0.300000X-0.237500X0.300000X-0.237500X0*%
%ADD22RoundRect,0.237500X-0.300000X-0.237500X0.300000X-0.237500X0.300000X0.237500X-0.300000X0.237500X0*%
%ADD23RoundRect,0.237500X0.250000X0.237500X-0.250000X0.237500X-0.250000X-0.237500X0.250000X-0.237500X0*%
%ADD24RoundRect,0.237500X0.380070X-0.044194X-0.044194X0.380070X-0.380070X0.044194X0.044194X-0.380070X0*%
%ADD25RoundRect,0.237500X-0.044194X-0.380070X0.380070X0.044194X0.044194X0.380070X-0.380070X-0.044194X0*%
G04 APERTURE END LIST*
D10*
%TO.C,CN1*%
X218731933Y-120061782D02*
X86651933Y-120061782D01*
X86651933Y-120061782D02*
X86651933Y-111171782D01*
X86651933Y-111171782D02*
X218731933Y-111171782D01*
X218731933Y-111171782D02*
X218731933Y-120061782D01*
G36*
X218731933Y-120061782D02*
G01*
X86651933Y-120061782D01*
X86651933Y-111171782D01*
X218731933Y-111171782D01*
X218731933Y-120061782D01*
G37*
X218731933Y-120061782D02*
X86651933Y-120061782D01*
X86651933Y-111171782D01*
X218731933Y-111171782D01*
X218731933Y-120061782D01*
%TD*%
D11*
%TO.C,J1*%
X213158741Y-72261674D03*
D12*
X213158741Y-74801674D03*
X213158741Y-77341674D03*
X213158741Y-79881674D03*
X213158741Y-82421674D03*
X213158741Y-84961674D03*
%TD*%
D13*
%TO.C,TP2*%
X194643039Y-81445003D03*
%TD*%
D14*
%TO.C,CN1*%
X214921933Y-114608782D03*
X212381933Y-114608782D03*
X209841933Y-114608782D03*
X207301933Y-114608782D03*
X204761933Y-114608782D03*
X202221933Y-114608782D03*
X199681933Y-114608782D03*
X197141933Y-114608782D03*
X194601933Y-114608782D03*
X192061933Y-114608782D03*
X189521933Y-114608782D03*
X186981933Y-114608782D03*
X184441933Y-114608782D03*
X181901933Y-114608782D03*
X179361933Y-114608782D03*
X176821933Y-114608782D03*
X174281933Y-114608782D03*
X171741933Y-114608782D03*
X169201933Y-114608782D03*
X166661933Y-114608782D03*
X164121933Y-114608782D03*
X161581933Y-114608782D03*
X159041933Y-114608782D03*
X156501933Y-114608782D03*
X153961933Y-114608782D03*
X151421933Y-114608782D03*
X148881933Y-114608782D03*
X146341933Y-114608782D03*
X143801933Y-114608782D03*
X141261933Y-114608782D03*
X138721933Y-114608782D03*
X136181933Y-114608782D03*
X133641933Y-114608782D03*
X131101933Y-114608782D03*
X128561933Y-114608782D03*
X126021933Y-114608782D03*
X123481933Y-114608782D03*
X120941933Y-114608782D03*
X118401933Y-114608782D03*
X115861933Y-114608782D03*
X113321933Y-114608782D03*
X110781933Y-114608782D03*
X108241933Y-114608782D03*
X105701933Y-114608782D03*
X103161933Y-114608782D03*
X100621933Y-114608782D03*
X98081933Y-114608782D03*
X95541933Y-114608782D03*
X93001933Y-114608782D03*
X90461933Y-114608782D03*
%TD*%
D15*
%TO.C,C1*%
X209881927Y-105160276D03*
D16*
X212381927Y-105160276D03*
%TD*%
D13*
%TO.C,TP1*%
X192611039Y-81445003D03*
%TD*%
D17*
%TO.C,C16*%
X185818720Y-90721496D03*
X183609012Y-88511788D03*
%TD*%
D18*
%TO.C,C17*%
X163386712Y-92404577D03*
X163386712Y-94129577D03*
%TD*%
D19*
%TO.C,C32*%
X132773069Y-90772390D03*
X132773069Y-89047390D03*
%TD*%
%TO.C,C25*%
X146920869Y-90733990D03*
X146920869Y-89008990D03*
%TD*%
%TO.C,C22*%
X152007069Y-88486990D03*
X152007069Y-86761990D03*
%TD*%
D20*
%TO.C,C12*%
X183061466Y-83699282D03*
X184281226Y-84919042D03*
%TD*%
D18*
%TO.C,C27*%
X146895469Y-81922390D03*
X146895469Y-83647390D03*
%TD*%
D20*
%TO.C,C10*%
X184331466Y-97034282D03*
X185551226Y-98254042D03*
%TD*%
D21*
%TO.C,C13*%
X183168481Y-78902116D03*
X181443481Y-78902116D03*
%TD*%
D18*
%TO.C,C33*%
X130360069Y-86215590D03*
X130360069Y-87940590D03*
%TD*%
%TO.C,C23*%
X152007069Y-83459990D03*
X152007069Y-85184990D03*
%TD*%
D22*
%TO.C,C8*%
X202716120Y-73177636D03*
X204441120Y-73177636D03*
%TD*%
D18*
%TO.C,C31*%
X130391669Y-92349990D03*
X130391669Y-94074990D03*
%TD*%
D23*
%TO.C,R2*%
X108783920Y-106045236D03*
X106958920Y-106045236D03*
%TD*%
D22*
%TO.C,C6*%
X155753900Y-107137200D03*
X157478900Y-107137200D03*
%TD*%
D18*
%TO.C,C26*%
X146920869Y-85681590D03*
X146920869Y-87406590D03*
%TD*%
D21*
%TO.C,C35*%
X130333569Y-69717690D03*
X128608569Y-69717690D03*
%TD*%
%TO.C,C28*%
X146843569Y-69782490D03*
X145118569Y-69782490D03*
%TD*%
D18*
%TO.C,C34*%
X130360069Y-81821390D03*
X130360069Y-83546390D03*
%TD*%
D19*
%TO.C,C29*%
X137699569Y-88043990D03*
X137699569Y-86318990D03*
%TD*%
D24*
%TO.C,C14*%
X194476592Y-88542957D03*
X193256832Y-87323197D03*
%TD*%
D18*
%TO.C,C38*%
X113881668Y-92349989D03*
X113881668Y-94074989D03*
%TD*%
D19*
%TO.C,C36*%
X118853869Y-88334790D03*
X118853869Y-86609790D03*
%TD*%
D22*
%TO.C,C4*%
X119711300Y-107137200D03*
X121436300Y-107137200D03*
%TD*%
D19*
%TO.C,C18*%
X166073569Y-90784790D03*
X166073569Y-89059790D03*
%TD*%
D18*
%TO.C,C44*%
X99911669Y-83383190D03*
X99911669Y-85108190D03*
%TD*%
D20*
%TO.C,C15*%
X190005189Y-85820210D03*
X191224949Y-87039970D03*
%TD*%
D22*
%TO.C,C5*%
X131293700Y-107137200D03*
X133018700Y-107137200D03*
%TD*%
D21*
%TO.C,C19*%
X160940569Y-82214490D03*
X159215569Y-82214490D03*
%TD*%
%TO.C,C20*%
X163353569Y-69782490D03*
X161628569Y-69782490D03*
%TD*%
D19*
%TO.C,C39*%
X113875469Y-90722390D03*
X113875469Y-88997390D03*
%TD*%
D18*
%TO.C,C41*%
X113875469Y-81999190D03*
X113875469Y-83724190D03*
%TD*%
D25*
%TO.C,C11*%
X180902466Y-93428042D03*
X182122226Y-92208282D03*
%TD*%
D18*
%TO.C,C24*%
X146927069Y-92349990D03*
X146927069Y-94074990D03*
%TD*%
%TO.C,C21*%
X166072469Y-85732390D03*
X166072469Y-87457390D03*
%TD*%
%TO.C,C40*%
X113875469Y-85644590D03*
X113875469Y-87369590D03*
%TD*%
D22*
%TO.C,C7*%
X167463300Y-107137200D03*
X169188300Y-107137200D03*
%TD*%
D24*
%TO.C,C9*%
X185678325Y-96237955D03*
X184458565Y-95018195D03*
%TD*%
D18*
%TO.C,C37*%
X118850029Y-83270784D03*
X118850029Y-84995784D03*
%TD*%
D19*
%TO.C,C30*%
X137953569Y-83344990D03*
X137953569Y-81619990D03*
%TD*%
%TO.C,C43*%
X99911669Y-88435590D03*
X99911669Y-86710590D03*
%TD*%
D21*
%TO.C,C42*%
X113874369Y-69717690D03*
X112149369Y-69717690D03*
%TD*%
M02*

View File

@ -0,0 +1,244 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*%
%TF.CreationDate,2022-03-26T19:57:17+01:00*%
%TF.ProjectId,GottaGoFaSDZ3,476f7474-6147-46f4-9661-53445a332e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-03-26 19:57:17*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.250000X0.751301X0.167938X0.167938X0.751301X-0.751301X-0.167938X-0.167938X-0.751301X0*%
%ADD11RoundRect,0.237500X-0.237500X0.300000X-0.237500X-0.300000X0.237500X-0.300000X0.237500X0.300000X0*%
%ADD12RoundRect,0.237500X0.237500X-0.300000X0.237500X0.300000X-0.237500X0.300000X-0.237500X-0.300000X0*%
%ADD13RoundRect,0.237500X-0.380070X0.044194X0.044194X-0.380070X0.380070X-0.044194X-0.044194X0.380070X0*%
%ADD14RoundRect,0.237500X0.300000X0.237500X-0.300000X0.237500X-0.300000X-0.237500X0.300000X-0.237500X0*%
%ADD15RoundRect,0.237500X-0.300000X-0.237500X0.300000X-0.237500X0.300000X0.237500X-0.300000X0.237500X0*%
%ADD16RoundRect,0.237500X0.250000X0.237500X-0.250000X0.237500X-0.250000X-0.237500X0.250000X-0.237500X0*%
%ADD17RoundRect,0.237500X0.380070X-0.044194X-0.044194X0.380070X-0.380070X0.044194X0.044194X-0.380070X0*%
%ADD18RoundRect,0.237500X-0.044194X-0.380070X0.380070X0.044194X0.044194X0.380070X-0.380070X-0.044194X0*%
G04 APERTURE END LIST*
D10*
%TO.C,C16*%
X185818720Y-90721496D03*
X183609012Y-88511788D03*
%TD*%
D11*
%TO.C,C17*%
X163386712Y-92404577D03*
X163386712Y-94129577D03*
%TD*%
D12*
%TO.C,C32*%
X132773069Y-90772390D03*
X132773069Y-89047390D03*
%TD*%
%TO.C,C25*%
X146920869Y-90733990D03*
X146920869Y-89008990D03*
%TD*%
%TO.C,C22*%
X152007069Y-88486990D03*
X152007069Y-86761990D03*
%TD*%
D13*
%TO.C,C12*%
X183061466Y-83699282D03*
X184281226Y-84919042D03*
%TD*%
D11*
%TO.C,C27*%
X146895469Y-81922390D03*
X146895469Y-83647390D03*
%TD*%
D13*
%TO.C,C10*%
X184331466Y-97034282D03*
X185551226Y-98254042D03*
%TD*%
D14*
%TO.C,C13*%
X183168481Y-78902116D03*
X181443481Y-78902116D03*
%TD*%
D11*
%TO.C,C33*%
X130360069Y-86215590D03*
X130360069Y-87940590D03*
%TD*%
%TO.C,C23*%
X152007069Y-83459990D03*
X152007069Y-85184990D03*
%TD*%
D15*
%TO.C,C8*%
X202716120Y-73177636D03*
X204441120Y-73177636D03*
%TD*%
D11*
%TO.C,C31*%
X130391669Y-92349990D03*
X130391669Y-94074990D03*
%TD*%
D16*
%TO.C,R2*%
X108783920Y-106045236D03*
X106958920Y-106045236D03*
%TD*%
D15*
%TO.C,C6*%
X155753900Y-107137200D03*
X157478900Y-107137200D03*
%TD*%
D11*
%TO.C,C26*%
X146920869Y-85681590D03*
X146920869Y-87406590D03*
%TD*%
D14*
%TO.C,C35*%
X130333569Y-69717690D03*
X128608569Y-69717690D03*
%TD*%
%TO.C,C28*%
X146843569Y-69782490D03*
X145118569Y-69782490D03*
%TD*%
D11*
%TO.C,C34*%
X130360069Y-81821390D03*
X130360069Y-83546390D03*
%TD*%
D12*
%TO.C,C29*%
X137699569Y-88043990D03*
X137699569Y-86318990D03*
%TD*%
D17*
%TO.C,C14*%
X194476592Y-88542957D03*
X193256832Y-87323197D03*
%TD*%
D11*
%TO.C,C38*%
X113881668Y-92349989D03*
X113881668Y-94074989D03*
%TD*%
D12*
%TO.C,C36*%
X118853869Y-88334790D03*
X118853869Y-86609790D03*
%TD*%
D15*
%TO.C,C4*%
X119711300Y-107137200D03*
X121436300Y-107137200D03*
%TD*%
D12*
%TO.C,C18*%
X166073569Y-90784790D03*
X166073569Y-89059790D03*
%TD*%
D11*
%TO.C,C44*%
X99911669Y-83383190D03*
X99911669Y-85108190D03*
%TD*%
D13*
%TO.C,C15*%
X190005189Y-85820210D03*
X191224949Y-87039970D03*
%TD*%
D15*
%TO.C,C5*%
X131293700Y-107137200D03*
X133018700Y-107137200D03*
%TD*%
D14*
%TO.C,C19*%
X160940569Y-82214490D03*
X159215569Y-82214490D03*
%TD*%
%TO.C,C20*%
X163353569Y-69782490D03*
X161628569Y-69782490D03*
%TD*%
D12*
%TO.C,C39*%
X113875469Y-90722390D03*
X113875469Y-88997390D03*
%TD*%
D11*
%TO.C,C41*%
X113875469Y-81999190D03*
X113875469Y-83724190D03*
%TD*%
D18*
%TO.C,C11*%
X180902466Y-93428042D03*
X182122226Y-92208282D03*
%TD*%
D11*
%TO.C,C24*%
X146927069Y-92349990D03*
X146927069Y-94074990D03*
%TD*%
%TO.C,C21*%
X166072469Y-85732390D03*
X166072469Y-87457390D03*
%TD*%
%TO.C,C40*%
X113875469Y-85644590D03*
X113875469Y-87369590D03*
%TD*%
D15*
%TO.C,C7*%
X167463300Y-107137200D03*
X169188300Y-107137200D03*
%TD*%
D17*
%TO.C,C9*%
X185678325Y-96237955D03*
X184458565Y-95018195D03*
%TD*%
D11*
%TO.C,C37*%
X118850029Y-83270784D03*
X118850029Y-84995784D03*
%TD*%
D12*
%TO.C,C30*%
X137953569Y-83344990D03*
X137953569Y-81619990D03*
%TD*%
%TO.C,C43*%
X99911669Y-88435590D03*
X99911669Y-86710590D03*
%TD*%
D14*
%TO.C,C42*%
X113874369Y-69717690D03*
X112149369Y-69717690D03*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,46 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*%
%TF.CreationDate,2022-03-26T19:57:18+01:00*%
%TF.ProjectId,GottaGoFaSDZ3,476f7474-6147-46f4-9661-53445a332e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-03-26 19:57:18*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD10C,0.050000*%
%TD*%
G04 APERTURE END LIST*
D10*
X87921927Y-117711778D02*
G75*
G03*
X89191927Y-118981778I1269999J-1D01*
G01*
X90461933Y-66184165D02*
X214921933Y-66184165D01*
X90461933Y-66184165D02*
G75*
G03*
X87921933Y-68724165I1J-2540001D01*
G01*
X217461933Y-117711774D02*
X217461933Y-68724165D01*
X216191933Y-118981774D02*
G75*
G03*
X217461933Y-117711774I1J1269999D01*
G01*
X89191927Y-118981774D02*
X216191933Y-118981774D01*
X87921927Y-117711778D02*
X87921933Y-68724165D01*
X217461933Y-68724165D02*
G75*
G03*
X214921933Y-66184165I-2540001J-1D01*
G01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,727 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*%
%TF.CreationDate,2022-03-26T19:57:18+01:00*%
%TF.ProjectId,GottaGoFaSDZ3,476f7474-6147-46f4-9661-53445a332e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-03-26 19:57:18*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.100000*%
%ADD11R,0.500000X0.800000*%
%ADD12R,0.400000X0.800000*%
%ADD13RoundRect,0.100000X-0.100000X0.637500X-0.100000X-0.637500X0.100000X-0.637500X0.100000X0.637500X0*%
%ADD14R,1.700000X1.700000*%
%ADD15O,1.700000X1.700000*%
%ADD16RoundRect,0.237500X0.250000X0.237500X-0.250000X0.237500X-0.250000X-0.237500X0.250000X-0.237500X0*%
%ADD17R,1.000000X1.000000*%
%ADD18RoundRect,0.250000X0.412500X0.650000X-0.412500X0.650000X-0.412500X-0.650000X0.412500X-0.650000X0*%
%ADD19R,1.524000X6.754000*%
%ADD20R,1.510000X0.458000*%
%ADD21R,3.000000X1.980000*%
%ADD22RoundRect,0.075000X0.521491X0.415425X0.415425X0.521491X-0.521491X-0.415425X-0.415425X-0.521491X0*%
%ADD23RoundRect,0.075000X0.521491X-0.415425X-0.415425X0.521491X-0.521491X0.415425X0.415425X-0.521491X0*%
%ADD24RoundRect,0.250000X-0.412500X-0.650000X0.412500X-0.650000X0.412500X0.650000X-0.412500X0.650000X0*%
%ADD25R,1.600000X1.600000*%
%ADD26C,1.600000*%
%ADD27R,1.500000X2.000000*%
%ADD28R,3.800000X2.000000*%
G04 APERTURE END LIST*
D10*
%TO.C,CN1*%
X218731933Y-120061782D02*
X86651933Y-120061782D01*
X86651933Y-120061782D02*
X86651933Y-111171782D01*
X86651933Y-111171782D02*
X218731933Y-111171782D01*
X218731933Y-111171782D02*
X218731933Y-120061782D01*
G36*
X218731933Y-120061782D02*
G01*
X86651933Y-120061782D01*
X86651933Y-111171782D01*
X218731933Y-111171782D01*
X218731933Y-120061782D01*
G37*
X218731933Y-120061782D02*
X86651933Y-120061782D01*
X86651933Y-111171782D01*
X218731933Y-111171782D01*
X218731933Y-120061782D01*
%TD*%
D11*
%TO.C,RN1*%
X104966469Y-96834478D03*
D12*
X105766469Y-96834478D03*
X106566469Y-96834478D03*
D11*
X107366469Y-96834478D03*
X107366469Y-95034478D03*
D12*
X106566469Y-95034478D03*
X105766469Y-95034478D03*
D11*
X104966469Y-95034478D03*
%TD*%
D13*
%TO.C,U5*%
X165329780Y-101395478D03*
X164679780Y-101395478D03*
X164029780Y-101395478D03*
X163379780Y-101395478D03*
X162729780Y-101395478D03*
X162079780Y-101395478D03*
X161429780Y-101395478D03*
X160779780Y-101395478D03*
X160129780Y-101395478D03*
X159479780Y-101395478D03*
X159479780Y-107120478D03*
X160129780Y-107120478D03*
X160779780Y-107120478D03*
X161429780Y-107120478D03*
X162079780Y-107120478D03*
X162729780Y-107120478D03*
X163379780Y-107120478D03*
X164029780Y-107120478D03*
X164679780Y-107120478D03*
X165329780Y-107120478D03*
%TD*%
D14*
%TO.C,J1*%
X213158741Y-72261674D03*
D15*
X213158741Y-74801674D03*
X213158741Y-77341674D03*
X213158741Y-79881674D03*
X213158741Y-82421674D03*
X213158741Y-84961674D03*
%TD*%
D11*
%TO.C,RN8*%
X158306469Y-96834478D03*
D12*
X159106469Y-96834478D03*
X159906469Y-96834478D03*
D11*
X160706469Y-96834478D03*
X160706469Y-95034478D03*
D12*
X159906469Y-95034478D03*
X159106469Y-95034478D03*
D11*
X158306469Y-95034478D03*
%TD*%
D16*
%TO.C,R1*%
X202767092Y-83297157D03*
X200942092Y-83297157D03*
%TD*%
D17*
%TO.C,TP2*%
X194643039Y-81445003D03*
%TD*%
D18*
%TO.C,C3*%
X207645227Y-99280361D03*
X204520227Y-99280361D03*
%TD*%
D11*
%TO.C,RN5*%
X137986469Y-96834478D03*
D12*
X138786469Y-96834478D03*
X139586469Y-96834478D03*
D11*
X140386469Y-96834478D03*
X140386469Y-95034478D03*
D12*
X139586469Y-95034478D03*
X138786469Y-95034478D03*
D11*
X137986469Y-95034478D03*
%TD*%
D19*
%TO.C,CN1*%
X214921933Y-114608782D03*
X212381933Y-114608782D03*
X209841933Y-114608782D03*
X207301933Y-114608782D03*
X204761933Y-114608782D03*
X202221933Y-114608782D03*
X199681933Y-114608782D03*
X197141933Y-114608782D03*
X194601933Y-114608782D03*
X192061933Y-114608782D03*
X189521933Y-114608782D03*
X186981933Y-114608782D03*
X184441933Y-114608782D03*
X181901933Y-114608782D03*
X179361933Y-114608782D03*
X176821933Y-114608782D03*
X174281933Y-114608782D03*
X171741933Y-114608782D03*
X169201933Y-114608782D03*
X166661933Y-114608782D03*
X164121933Y-114608782D03*
X161581933Y-114608782D03*
X159041933Y-114608782D03*
X156501933Y-114608782D03*
X153961933Y-114608782D03*
X151421933Y-114608782D03*
X148881933Y-114608782D03*
X146341933Y-114608782D03*
X143801933Y-114608782D03*
X141261933Y-114608782D03*
X138721933Y-114608782D03*
X136181933Y-114608782D03*
X133641933Y-114608782D03*
X131101933Y-114608782D03*
X128561933Y-114608782D03*
X126021933Y-114608782D03*
X123481933Y-114608782D03*
X120941933Y-114608782D03*
X118401933Y-114608782D03*
X115861933Y-114608782D03*
X113321933Y-114608782D03*
X110781933Y-114608782D03*
X108241933Y-114608782D03*
X105701933Y-114608782D03*
X103161933Y-114608782D03*
X100621933Y-114608782D03*
X98081933Y-114608782D03*
X95541933Y-114608782D03*
X93001933Y-114608782D03*
X90461933Y-114608782D03*
%TD*%
D20*
%TO.C,U10*%
X163380069Y-92360490D03*
X163380069Y-91560490D03*
X163380069Y-90760490D03*
X163380069Y-89960490D03*
X163380069Y-89160490D03*
X163380069Y-88360490D03*
X163380069Y-87560490D03*
X163380069Y-86760490D03*
X163380069Y-85960490D03*
X163380069Y-85160490D03*
X163380069Y-84360490D03*
X163380069Y-83560490D03*
X163380069Y-82760490D03*
X163380069Y-81960490D03*
X163380069Y-81160490D03*
X163380069Y-80360490D03*
X163380069Y-79560490D03*
X163380069Y-78760490D03*
X163380069Y-77960490D03*
X163380069Y-77160490D03*
X163380069Y-76360490D03*
X163380069Y-75560490D03*
X163380069Y-74760490D03*
X163380069Y-73960490D03*
X163380069Y-73160490D03*
X163380069Y-72360490D03*
X163380069Y-71560490D03*
X151880069Y-71560490D03*
X151880069Y-72360490D03*
X151880069Y-73160490D03*
X151880069Y-73960490D03*
X151880069Y-74760490D03*
X151880069Y-75560490D03*
X151880069Y-76360490D03*
X151880069Y-77160490D03*
X151880069Y-77960490D03*
X151880069Y-78760490D03*
X151880069Y-79560490D03*
X151880069Y-80360490D03*
X151880069Y-81160490D03*
X151880069Y-81960490D03*
X151880069Y-82760490D03*
X151880069Y-83560490D03*
X151880069Y-84360490D03*
X151880069Y-85160490D03*
X151880069Y-85960490D03*
X151880069Y-86760490D03*
X151880069Y-87560490D03*
X151880069Y-88360490D03*
X151880069Y-89160490D03*
X151880069Y-89960490D03*
X151880069Y-90760490D03*
X151880069Y-91560490D03*
X151880069Y-92360490D03*
%TD*%
D21*
%TO.C,X1*%
X197779792Y-75612757D03*
X197779792Y-80872757D03*
X202779792Y-80872757D03*
X202779792Y-75612757D03*
%TD*%
D20*
%TO.C,U7*%
X113850069Y-92360490D03*
X113850069Y-91560490D03*
X113850069Y-90760490D03*
X113850069Y-89960490D03*
X113850069Y-89160490D03*
X113850069Y-88360490D03*
X113850069Y-87560490D03*
X113850069Y-86760490D03*
X113850069Y-85960490D03*
X113850069Y-85160490D03*
X113850069Y-84360490D03*
X113850069Y-83560490D03*
X113850069Y-82760490D03*
X113850069Y-81960490D03*
X113850069Y-81160490D03*
X113850069Y-80360490D03*
X113850069Y-79560490D03*
X113850069Y-78760490D03*
X113850069Y-77960490D03*
X113850069Y-77160490D03*
X113850069Y-76360490D03*
X113850069Y-75560490D03*
X113850069Y-74760490D03*
X113850069Y-73960490D03*
X113850069Y-73160490D03*
X113850069Y-72360490D03*
X113850069Y-71560490D03*
X102350069Y-71560490D03*
X102350069Y-72360490D03*
X102350069Y-73160490D03*
X102350069Y-73960490D03*
X102350069Y-74760490D03*
X102350069Y-75560490D03*
X102350069Y-76360490D03*
X102350069Y-77160490D03*
X102350069Y-77960490D03*
X102350069Y-78760490D03*
X102350069Y-79560490D03*
X102350069Y-80360490D03*
X102350069Y-81160490D03*
X102350069Y-81960490D03*
X102350069Y-82760490D03*
X102350069Y-83560490D03*
X102350069Y-84360490D03*
X102350069Y-85160490D03*
X102350069Y-85960490D03*
X102350069Y-86760490D03*
X102350069Y-87560490D03*
X102350069Y-88360490D03*
X102350069Y-89160490D03*
X102350069Y-89960490D03*
X102350069Y-90760490D03*
X102350069Y-91560490D03*
X102350069Y-92360490D03*
%TD*%
D13*
%TO.C,U2*%
X117850968Y-101395478D03*
X117200968Y-101395478D03*
X116550968Y-101395478D03*
X115900968Y-101395478D03*
X115250968Y-101395478D03*
X114600968Y-101395478D03*
X113950968Y-101395478D03*
X113300968Y-101395478D03*
X112650968Y-101395478D03*
X112000968Y-101395478D03*
X112000968Y-107120478D03*
X112650968Y-107120478D03*
X113300968Y-107120478D03*
X113950968Y-107120478D03*
X114600968Y-107120478D03*
X115250968Y-107120478D03*
X115900968Y-107120478D03*
X116550968Y-107120478D03*
X117200968Y-107120478D03*
X117850968Y-107120478D03*
%TD*%
D22*
%TO.C,U6*%
X183538301Y-99277488D03*
X183184748Y-98923935D03*
X182831194Y-98570382D03*
X182477641Y-98216828D03*
X182124087Y-97863275D03*
X181770534Y-97509721D03*
X181416981Y-97156168D03*
X181063427Y-96802615D03*
X180709874Y-96449061D03*
X180356320Y-96095508D03*
X180002767Y-95741954D03*
X179649214Y-95388401D03*
X179295660Y-95034848D03*
X178942107Y-94681294D03*
X178588554Y-94327741D03*
X178235000Y-93974188D03*
X177881447Y-93620634D03*
X177527893Y-93267081D03*
X177174340Y-92913527D03*
X176820787Y-92559974D03*
X176467233Y-92206421D03*
X176113680Y-91852867D03*
X175760126Y-91499314D03*
X175406573Y-91145760D03*
X175053020Y-90792207D03*
D23*
X175053020Y-88441077D03*
X175406573Y-88087524D03*
X175760126Y-87733970D03*
X176113680Y-87380417D03*
X176467233Y-87026863D03*
X176820787Y-86673310D03*
X177174340Y-86319757D03*
X177527893Y-85966203D03*
X177881447Y-85612650D03*
X178235000Y-85259096D03*
X178588554Y-84905543D03*
X178942107Y-84551990D03*
X179295660Y-84198436D03*
X179649214Y-83844883D03*
X180002767Y-83491330D03*
X180356320Y-83137776D03*
X180709874Y-82784223D03*
X181063427Y-82430669D03*
X181416981Y-82077116D03*
X181770534Y-81723563D03*
X182124087Y-81370009D03*
X182477641Y-81016456D03*
X182831194Y-80662902D03*
X183184748Y-80309349D03*
X183538301Y-79955796D03*
D22*
X185889431Y-79955796D03*
X186242984Y-80309349D03*
X186596538Y-80662902D03*
X186950091Y-81016456D03*
X187303645Y-81370009D03*
X187657198Y-81723563D03*
X188010751Y-82077116D03*
X188364305Y-82430669D03*
X188717858Y-82784223D03*
X189071412Y-83137776D03*
X189424965Y-83491330D03*
X189778518Y-83844883D03*
X190132072Y-84198436D03*
X190485625Y-84551990D03*
X190839178Y-84905543D03*
X191192732Y-85259096D03*
X191546285Y-85612650D03*
X191899839Y-85966203D03*
X192253392Y-86319757D03*
X192606945Y-86673310D03*
X192960499Y-87026863D03*
X193314052Y-87380417D03*
X193667606Y-87733970D03*
X194021159Y-88087524D03*
X194374712Y-88441077D03*
D23*
X194374712Y-90792207D03*
X194021159Y-91145760D03*
X193667606Y-91499314D03*
X193314052Y-91852867D03*
X192960499Y-92206421D03*
X192606945Y-92559974D03*
X192253392Y-92913527D03*
X191899839Y-93267081D03*
X191546285Y-93620634D03*
X191192732Y-93974188D03*
X190839178Y-94327741D03*
X190485625Y-94681294D03*
X190132072Y-95034848D03*
X189778518Y-95388401D03*
X189424965Y-95741954D03*
X189071412Y-96095508D03*
X188717858Y-96449061D03*
X188364305Y-96802615D03*
X188010751Y-97156168D03*
X187657198Y-97509721D03*
X187303645Y-97863275D03*
X186950091Y-98216828D03*
X186596538Y-98570382D03*
X186242984Y-98923935D03*
X185889431Y-99277488D03*
%TD*%
D11*
%TO.C,RN3*%
X121476469Y-96834478D03*
D12*
X122276469Y-96834478D03*
X123076469Y-96834478D03*
D11*
X123876469Y-96834478D03*
X123876469Y-95034478D03*
D12*
X123076469Y-95034478D03*
X122276469Y-95034478D03*
D11*
X121476469Y-95034478D03*
%TD*%
D24*
%TO.C,C2*%
X209930427Y-99331161D03*
X213055427Y-99331161D03*
%TD*%
D13*
%TO.C,U3*%
X129280968Y-101395478D03*
X128630968Y-101395478D03*
X127980968Y-101395478D03*
X127330968Y-101395478D03*
X126680968Y-101395478D03*
X126030968Y-101395478D03*
X125380968Y-101395478D03*
X124730968Y-101395478D03*
X124080968Y-101395478D03*
X123430968Y-101395478D03*
X123430968Y-107120478D03*
X124080968Y-107120478D03*
X124730968Y-107120478D03*
X125380968Y-107120478D03*
X126030968Y-107120478D03*
X126680968Y-107120478D03*
X127330968Y-107120478D03*
X127980968Y-107120478D03*
X128630968Y-107120478D03*
X129280968Y-107120478D03*
%TD*%
%TO.C,U4*%
X153899780Y-101395478D03*
X153249780Y-101395478D03*
X152599780Y-101395478D03*
X151949780Y-101395478D03*
X151299780Y-101395478D03*
X150649780Y-101395478D03*
X149999780Y-101395478D03*
X149349780Y-101395478D03*
X148699780Y-101395478D03*
X148049780Y-101395478D03*
X148049780Y-107120478D03*
X148699780Y-107120478D03*
X149349780Y-107120478D03*
X149999780Y-107120478D03*
X150649780Y-107120478D03*
X151299780Y-107120478D03*
X151949780Y-107120478D03*
X152599780Y-107120478D03*
X153249780Y-107120478D03*
X153899780Y-107120478D03*
%TD*%
D20*
%TO.C,U9*%
X146870069Y-92360490D03*
X146870069Y-91560490D03*
X146870069Y-90760490D03*
X146870069Y-89960490D03*
X146870069Y-89160490D03*
X146870069Y-88360490D03*
X146870069Y-87560490D03*
X146870069Y-86760490D03*
X146870069Y-85960490D03*
X146870069Y-85160490D03*
X146870069Y-84360490D03*
X146870069Y-83560490D03*
X146870069Y-82760490D03*
X146870069Y-81960490D03*
X146870069Y-81160490D03*
X146870069Y-80360490D03*
X146870069Y-79560490D03*
X146870069Y-78760490D03*
X146870069Y-77960490D03*
X146870069Y-77160490D03*
X146870069Y-76360490D03*
X146870069Y-75560490D03*
X146870069Y-74760490D03*
X146870069Y-73960490D03*
X146870069Y-73160490D03*
X146870069Y-72360490D03*
X146870069Y-71560490D03*
X135370069Y-71560490D03*
X135370069Y-72360490D03*
X135370069Y-73160490D03*
X135370069Y-73960490D03*
X135370069Y-74760490D03*
X135370069Y-75560490D03*
X135370069Y-76360490D03*
X135370069Y-77160490D03*
X135370069Y-77960490D03*
X135370069Y-78760490D03*
X135370069Y-79560490D03*
X135370069Y-80360490D03*
X135370069Y-81160490D03*
X135370069Y-81960490D03*
X135370069Y-82760490D03*
X135370069Y-83560490D03*
X135370069Y-84360490D03*
X135370069Y-85160490D03*
X135370069Y-85960490D03*
X135370069Y-86760490D03*
X135370069Y-87560490D03*
X135370069Y-88360490D03*
X135370069Y-89160490D03*
X135370069Y-89960490D03*
X135370069Y-90760490D03*
X135370069Y-91560490D03*
X135370069Y-92360490D03*
%TD*%
D25*
%TO.C,C1*%
X209881927Y-105160276D03*
D26*
X212381927Y-105160276D03*
%TD*%
D17*
%TO.C,TP1*%
X192611039Y-81445003D03*
%TD*%
D11*
%TO.C,RN4*%
X125286469Y-96834478D03*
D12*
X126086469Y-96834478D03*
X126886469Y-96834478D03*
D11*
X127686469Y-96834478D03*
X127686469Y-95034478D03*
D12*
X126886469Y-95034478D03*
X126086469Y-95034478D03*
D11*
X125286469Y-95034478D03*
%TD*%
D27*
%TO.C,U1*%
X205340219Y-96598852D03*
X207640219Y-96598852D03*
D28*
X207640219Y-90298852D03*
D27*
X209940219Y-96598852D03*
%TD*%
D20*
%TO.C,U8*%
X130360069Y-92360490D03*
X130360069Y-91560490D03*
X130360069Y-90760490D03*
X130360069Y-89960490D03*
X130360069Y-89160490D03*
X130360069Y-88360490D03*
X130360069Y-87560490D03*
X130360069Y-86760490D03*
X130360069Y-85960490D03*
X130360069Y-85160490D03*
X130360069Y-84360490D03*
X130360069Y-83560490D03*
X130360069Y-82760490D03*
X130360069Y-81960490D03*
X130360069Y-81160490D03*
X130360069Y-80360490D03*
X130360069Y-79560490D03*
X130360069Y-78760490D03*
X130360069Y-77960490D03*
X130360069Y-77160490D03*
X130360069Y-76360490D03*
X130360069Y-75560490D03*
X130360069Y-74760490D03*
X130360069Y-73960490D03*
X130360069Y-73160490D03*
X130360069Y-72360490D03*
X130360069Y-71560490D03*
X118860069Y-71560490D03*
X118860069Y-72360490D03*
X118860069Y-73160490D03*
X118860069Y-73960490D03*
X118860069Y-74760490D03*
X118860069Y-75560490D03*
X118860069Y-76360490D03*
X118860069Y-77160490D03*
X118860069Y-77960490D03*
X118860069Y-78760490D03*
X118860069Y-79560490D03*
X118860069Y-80360490D03*
X118860069Y-81160490D03*
X118860069Y-81960490D03*
X118860069Y-82760490D03*
X118860069Y-83560490D03*
X118860069Y-84360490D03*
X118860069Y-85160490D03*
X118860069Y-85960490D03*
X118860069Y-86760490D03*
X118860069Y-87560490D03*
X118860069Y-88360490D03*
X118860069Y-89160490D03*
X118860069Y-89960490D03*
X118860069Y-90760490D03*
X118860069Y-91560490D03*
X118860069Y-92360490D03*
%TD*%
D11*
%TO.C,RN7*%
X154496469Y-96834478D03*
D12*
X155296469Y-96834478D03*
X156096469Y-96834478D03*
D11*
X156896469Y-96834478D03*
X156896469Y-95034478D03*
D12*
X156096469Y-95034478D03*
X155296469Y-95034478D03*
D11*
X154496469Y-95034478D03*
%TD*%
%TO.C,RN6*%
X141796469Y-96834478D03*
D12*
X142596469Y-96834478D03*
X143396469Y-96834478D03*
D11*
X144196469Y-96834478D03*
X144196469Y-95034478D03*
D12*
X143396469Y-95034478D03*
X142596469Y-95034478D03*
D11*
X141796469Y-95034478D03*
%TD*%
%TO.C,RN2*%
X108776469Y-96834478D03*
D12*
X109576469Y-96834478D03*
X110376469Y-96834478D03*
D11*
X111176469Y-96834478D03*
X111176469Y-95034478D03*
D12*
X110376469Y-95034478D03*
X109576469Y-95034478D03*
D11*
X108776469Y-95034478D03*
%TD*%
M02*

View File

@ -0,0 +1,619 @@
%TF.GenerationSoftware,KiCad,Pcbnew,(6.0.0)*%
%TF.CreationDate,2022-03-26T19:57:17+01:00*%
%TF.ProjectId,GottaGoFaSDZ3,476f7474-6147-46f4-9661-53445a332e6b,rev?*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.0)) date 2022-03-26 19:57:17*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10R,0.500000X0.800000*%
%ADD11R,0.400000X0.800000*%
%ADD12RoundRect,0.100000X-0.100000X0.637500X-0.100000X-0.637500X0.100000X-0.637500X0.100000X0.637500X0*%
%ADD13RoundRect,0.237500X0.250000X0.237500X-0.250000X0.237500X-0.250000X-0.237500X0.250000X-0.237500X0*%
%ADD14RoundRect,0.250000X0.412500X0.650000X-0.412500X0.650000X-0.412500X-0.650000X0.412500X-0.650000X0*%
%ADD15R,1.510000X0.458000*%
%ADD16R,3.000000X1.980000*%
%ADD17RoundRect,0.075000X0.521491X0.415425X0.415425X0.521491X-0.521491X-0.415425X-0.415425X-0.521491X0*%
%ADD18RoundRect,0.075000X0.521491X-0.415425X-0.415425X0.521491X-0.521491X0.415425X0.415425X-0.521491X0*%
%ADD19RoundRect,0.250000X-0.412500X-0.650000X0.412500X-0.650000X0.412500X0.650000X-0.412500X0.650000X0*%
%ADD20R,1.500000X2.000000*%
%ADD21R,3.800000X2.000000*%
G04 APERTURE END LIST*
D10*
%TO.C,RN1*%
X104966469Y-96834478D03*
D11*
X105766469Y-96834478D03*
X106566469Y-96834478D03*
D10*
X107366469Y-96834478D03*
X107366469Y-95034478D03*
D11*
X106566469Y-95034478D03*
X105766469Y-95034478D03*
D10*
X104966469Y-95034478D03*
%TD*%
D12*
%TO.C,U5*%
X165329780Y-101395478D03*
X164679780Y-101395478D03*
X164029780Y-101395478D03*
X163379780Y-101395478D03*
X162729780Y-101395478D03*
X162079780Y-101395478D03*
X161429780Y-101395478D03*
X160779780Y-101395478D03*
X160129780Y-101395478D03*
X159479780Y-101395478D03*
X159479780Y-107120478D03*
X160129780Y-107120478D03*
X160779780Y-107120478D03*
X161429780Y-107120478D03*
X162079780Y-107120478D03*
X162729780Y-107120478D03*
X163379780Y-107120478D03*
X164029780Y-107120478D03*
X164679780Y-107120478D03*
X165329780Y-107120478D03*
%TD*%
D10*
%TO.C,RN8*%
X158306469Y-96834478D03*
D11*
X159106469Y-96834478D03*
X159906469Y-96834478D03*
D10*
X160706469Y-96834478D03*
X160706469Y-95034478D03*
D11*
X159906469Y-95034478D03*
X159106469Y-95034478D03*
D10*
X158306469Y-95034478D03*
%TD*%
D13*
%TO.C,R1*%
X202767092Y-83297157D03*
X200942092Y-83297157D03*
%TD*%
D14*
%TO.C,C3*%
X207645227Y-99280361D03*
X204520227Y-99280361D03*
%TD*%
D10*
%TO.C,RN5*%
X137986469Y-96834478D03*
D11*
X138786469Y-96834478D03*
X139586469Y-96834478D03*
D10*
X140386469Y-96834478D03*
X140386469Y-95034478D03*
D11*
X139586469Y-95034478D03*
X138786469Y-95034478D03*
D10*
X137986469Y-95034478D03*
%TD*%
D15*
%TO.C,U10*%
X163380069Y-92360490D03*
X163380069Y-91560490D03*
X163380069Y-90760490D03*
X163380069Y-89960490D03*
X163380069Y-89160490D03*
X163380069Y-88360490D03*
X163380069Y-87560490D03*
X163380069Y-86760490D03*
X163380069Y-85960490D03*
X163380069Y-85160490D03*
X163380069Y-84360490D03*
X163380069Y-83560490D03*
X163380069Y-82760490D03*
X163380069Y-81960490D03*
X163380069Y-81160490D03*
X163380069Y-80360490D03*
X163380069Y-79560490D03*
X163380069Y-78760490D03*
X163380069Y-77960490D03*
X163380069Y-77160490D03*
X163380069Y-76360490D03*
X163380069Y-75560490D03*
X163380069Y-74760490D03*
X163380069Y-73960490D03*
X163380069Y-73160490D03*
X163380069Y-72360490D03*
X163380069Y-71560490D03*
X151880069Y-71560490D03*
X151880069Y-72360490D03*
X151880069Y-73160490D03*
X151880069Y-73960490D03*
X151880069Y-74760490D03*
X151880069Y-75560490D03*
X151880069Y-76360490D03*
X151880069Y-77160490D03*
X151880069Y-77960490D03*
X151880069Y-78760490D03*
X151880069Y-79560490D03*
X151880069Y-80360490D03*
X151880069Y-81160490D03*
X151880069Y-81960490D03*
X151880069Y-82760490D03*
X151880069Y-83560490D03*
X151880069Y-84360490D03*
X151880069Y-85160490D03*
X151880069Y-85960490D03*
X151880069Y-86760490D03*
X151880069Y-87560490D03*
X151880069Y-88360490D03*
X151880069Y-89160490D03*
X151880069Y-89960490D03*
X151880069Y-90760490D03*
X151880069Y-91560490D03*
X151880069Y-92360490D03*
%TD*%
D16*
%TO.C,X1*%
X197779792Y-75612757D03*
X197779792Y-80872757D03*
X202779792Y-80872757D03*
X202779792Y-75612757D03*
%TD*%
D15*
%TO.C,U7*%
X113850069Y-92360490D03*
X113850069Y-91560490D03*
X113850069Y-90760490D03*
X113850069Y-89960490D03*
X113850069Y-89160490D03*
X113850069Y-88360490D03*
X113850069Y-87560490D03*
X113850069Y-86760490D03*
X113850069Y-85960490D03*
X113850069Y-85160490D03*
X113850069Y-84360490D03*
X113850069Y-83560490D03*
X113850069Y-82760490D03*
X113850069Y-81960490D03*
X113850069Y-81160490D03*
X113850069Y-80360490D03*
X113850069Y-79560490D03*
X113850069Y-78760490D03*
X113850069Y-77960490D03*
X113850069Y-77160490D03*
X113850069Y-76360490D03*
X113850069Y-75560490D03*
X113850069Y-74760490D03*
X113850069Y-73960490D03*
X113850069Y-73160490D03*
X113850069Y-72360490D03*
X113850069Y-71560490D03*
X102350069Y-71560490D03*
X102350069Y-72360490D03*
X102350069Y-73160490D03*
X102350069Y-73960490D03*
X102350069Y-74760490D03*
X102350069Y-75560490D03*
X102350069Y-76360490D03*
X102350069Y-77160490D03*
X102350069Y-77960490D03*
X102350069Y-78760490D03*
X102350069Y-79560490D03*
X102350069Y-80360490D03*
X102350069Y-81160490D03*
X102350069Y-81960490D03*
X102350069Y-82760490D03*
X102350069Y-83560490D03*
X102350069Y-84360490D03*
X102350069Y-85160490D03*
X102350069Y-85960490D03*
X102350069Y-86760490D03*
X102350069Y-87560490D03*
X102350069Y-88360490D03*
X102350069Y-89160490D03*
X102350069Y-89960490D03*
X102350069Y-90760490D03*
X102350069Y-91560490D03*
X102350069Y-92360490D03*
%TD*%
D12*
%TO.C,U2*%
X117850968Y-101395478D03*
X117200968Y-101395478D03*
X116550968Y-101395478D03*
X115900968Y-101395478D03*
X115250968Y-101395478D03*
X114600968Y-101395478D03*
X113950968Y-101395478D03*
X113300968Y-101395478D03*
X112650968Y-101395478D03*
X112000968Y-101395478D03*
X112000968Y-107120478D03*
X112650968Y-107120478D03*
X113300968Y-107120478D03*
X113950968Y-107120478D03*
X114600968Y-107120478D03*
X115250968Y-107120478D03*
X115900968Y-107120478D03*
X116550968Y-107120478D03*
X117200968Y-107120478D03*
X117850968Y-107120478D03*
%TD*%
D17*
%TO.C,U6*%
X183538301Y-99277488D03*
X183184748Y-98923935D03*
X182831194Y-98570382D03*
X182477641Y-98216828D03*
X182124087Y-97863275D03*
X181770534Y-97509721D03*
X181416981Y-97156168D03*
X181063427Y-96802615D03*
X180709874Y-96449061D03*
X180356320Y-96095508D03*
X180002767Y-95741954D03*
X179649214Y-95388401D03*
X179295660Y-95034848D03*
X178942107Y-94681294D03*
X178588554Y-94327741D03*
X178235000Y-93974188D03*
X177881447Y-93620634D03*
X177527893Y-93267081D03*
X177174340Y-92913527D03*
X176820787Y-92559974D03*
X176467233Y-92206421D03*
X176113680Y-91852867D03*
X175760126Y-91499314D03*
X175406573Y-91145760D03*
X175053020Y-90792207D03*
D18*
X175053020Y-88441077D03*
X175406573Y-88087524D03*
X175760126Y-87733970D03*
X176113680Y-87380417D03*
X176467233Y-87026863D03*
X176820787Y-86673310D03*
X177174340Y-86319757D03*
X177527893Y-85966203D03*
X177881447Y-85612650D03*
X178235000Y-85259096D03*
X178588554Y-84905543D03*
X178942107Y-84551990D03*
X179295660Y-84198436D03*
X179649214Y-83844883D03*
X180002767Y-83491330D03*
X180356320Y-83137776D03*
X180709874Y-82784223D03*
X181063427Y-82430669D03*
X181416981Y-82077116D03*
X181770534Y-81723563D03*
X182124087Y-81370009D03*
X182477641Y-81016456D03*
X182831194Y-80662902D03*
X183184748Y-80309349D03*
X183538301Y-79955796D03*
D17*
X185889431Y-79955796D03*
X186242984Y-80309349D03*
X186596538Y-80662902D03*
X186950091Y-81016456D03*
X187303645Y-81370009D03*
X187657198Y-81723563D03*
X188010751Y-82077116D03*
X188364305Y-82430669D03*
X188717858Y-82784223D03*
X189071412Y-83137776D03*
X189424965Y-83491330D03*
X189778518Y-83844883D03*
X190132072Y-84198436D03*
X190485625Y-84551990D03*
X190839178Y-84905543D03*
X191192732Y-85259096D03*
X191546285Y-85612650D03*
X191899839Y-85966203D03*
X192253392Y-86319757D03*
X192606945Y-86673310D03*
X192960499Y-87026863D03*
X193314052Y-87380417D03*
X193667606Y-87733970D03*
X194021159Y-88087524D03*
X194374712Y-88441077D03*
D18*
X194374712Y-90792207D03*
X194021159Y-91145760D03*
X193667606Y-91499314D03*
X193314052Y-91852867D03*
X192960499Y-92206421D03*
X192606945Y-92559974D03*
X192253392Y-92913527D03*
X191899839Y-93267081D03*
X191546285Y-93620634D03*
X191192732Y-93974188D03*
X190839178Y-94327741D03*
X190485625Y-94681294D03*
X190132072Y-95034848D03*
X189778518Y-95388401D03*
X189424965Y-95741954D03*
X189071412Y-96095508D03*
X188717858Y-96449061D03*
X188364305Y-96802615D03*
X188010751Y-97156168D03*
X187657198Y-97509721D03*
X187303645Y-97863275D03*
X186950091Y-98216828D03*
X186596538Y-98570382D03*
X186242984Y-98923935D03*
X185889431Y-99277488D03*
%TD*%
D10*
%TO.C,RN3*%
X121476469Y-96834478D03*
D11*
X122276469Y-96834478D03*
X123076469Y-96834478D03*
D10*
X123876469Y-96834478D03*
X123876469Y-95034478D03*
D11*
X123076469Y-95034478D03*
X122276469Y-95034478D03*
D10*
X121476469Y-95034478D03*
%TD*%
D19*
%TO.C,C2*%
X209930427Y-99331161D03*
X213055427Y-99331161D03*
%TD*%
D12*
%TO.C,U3*%
X129280968Y-101395478D03*
X128630968Y-101395478D03*
X127980968Y-101395478D03*
X127330968Y-101395478D03*
X126680968Y-101395478D03*
X126030968Y-101395478D03*
X125380968Y-101395478D03*
X124730968Y-101395478D03*
X124080968Y-101395478D03*
X123430968Y-101395478D03*
X123430968Y-107120478D03*
X124080968Y-107120478D03*
X124730968Y-107120478D03*
X125380968Y-107120478D03*
X126030968Y-107120478D03*
X126680968Y-107120478D03*
X127330968Y-107120478D03*
X127980968Y-107120478D03*
X128630968Y-107120478D03*
X129280968Y-107120478D03*
%TD*%
%TO.C,U4*%
X153899780Y-101395478D03*
X153249780Y-101395478D03*
X152599780Y-101395478D03*
X151949780Y-101395478D03*
X151299780Y-101395478D03*
X150649780Y-101395478D03*
X149999780Y-101395478D03*
X149349780Y-101395478D03*
X148699780Y-101395478D03*
X148049780Y-101395478D03*
X148049780Y-107120478D03*
X148699780Y-107120478D03*
X149349780Y-107120478D03*
X149999780Y-107120478D03*
X150649780Y-107120478D03*
X151299780Y-107120478D03*
X151949780Y-107120478D03*
X152599780Y-107120478D03*
X153249780Y-107120478D03*
X153899780Y-107120478D03*
%TD*%
D15*
%TO.C,U9*%
X146870069Y-92360490D03*
X146870069Y-91560490D03*
X146870069Y-90760490D03*
X146870069Y-89960490D03*
X146870069Y-89160490D03*
X146870069Y-88360490D03*
X146870069Y-87560490D03*
X146870069Y-86760490D03*
X146870069Y-85960490D03*
X146870069Y-85160490D03*
X146870069Y-84360490D03*
X146870069Y-83560490D03*
X146870069Y-82760490D03*
X146870069Y-81960490D03*
X146870069Y-81160490D03*
X146870069Y-80360490D03*
X146870069Y-79560490D03*
X146870069Y-78760490D03*
X146870069Y-77960490D03*
X146870069Y-77160490D03*
X146870069Y-76360490D03*
X146870069Y-75560490D03*
X146870069Y-74760490D03*
X146870069Y-73960490D03*
X146870069Y-73160490D03*
X146870069Y-72360490D03*
X146870069Y-71560490D03*
X135370069Y-71560490D03*
X135370069Y-72360490D03*
X135370069Y-73160490D03*
X135370069Y-73960490D03*
X135370069Y-74760490D03*
X135370069Y-75560490D03*
X135370069Y-76360490D03*
X135370069Y-77160490D03*
X135370069Y-77960490D03*
X135370069Y-78760490D03*
X135370069Y-79560490D03*
X135370069Y-80360490D03*
X135370069Y-81160490D03*
X135370069Y-81960490D03*
X135370069Y-82760490D03*
X135370069Y-83560490D03*
X135370069Y-84360490D03*
X135370069Y-85160490D03*
X135370069Y-85960490D03*
X135370069Y-86760490D03*
X135370069Y-87560490D03*
X135370069Y-88360490D03*
X135370069Y-89160490D03*
X135370069Y-89960490D03*
X135370069Y-90760490D03*
X135370069Y-91560490D03*
X135370069Y-92360490D03*
%TD*%
D10*
%TO.C,RN4*%
X125286469Y-96834478D03*
D11*
X126086469Y-96834478D03*
X126886469Y-96834478D03*
D10*
X127686469Y-96834478D03*
X127686469Y-95034478D03*
D11*
X126886469Y-95034478D03*
X126086469Y-95034478D03*
D10*
X125286469Y-95034478D03*
%TD*%
D20*
%TO.C,U1*%
X205340219Y-96598852D03*
X207640219Y-96598852D03*
D21*
X207640219Y-90298852D03*
D20*
X209940219Y-96598852D03*
%TD*%
D15*
%TO.C,U8*%
X130360069Y-92360490D03*
X130360069Y-91560490D03*
X130360069Y-90760490D03*
X130360069Y-89960490D03*
X130360069Y-89160490D03*
X130360069Y-88360490D03*
X130360069Y-87560490D03*
X130360069Y-86760490D03*
X130360069Y-85960490D03*
X130360069Y-85160490D03*
X130360069Y-84360490D03*
X130360069Y-83560490D03*
X130360069Y-82760490D03*
X130360069Y-81960490D03*
X130360069Y-81160490D03*
X130360069Y-80360490D03*
X130360069Y-79560490D03*
X130360069Y-78760490D03*
X130360069Y-77960490D03*
X130360069Y-77160490D03*
X130360069Y-76360490D03*
X130360069Y-75560490D03*
X130360069Y-74760490D03*
X130360069Y-73960490D03*
X130360069Y-73160490D03*
X130360069Y-72360490D03*
X130360069Y-71560490D03*
X118860069Y-71560490D03*
X118860069Y-72360490D03*
X118860069Y-73160490D03*
X118860069Y-73960490D03*
X118860069Y-74760490D03*
X118860069Y-75560490D03*
X118860069Y-76360490D03*
X118860069Y-77160490D03*
X118860069Y-77960490D03*
X118860069Y-78760490D03*
X118860069Y-79560490D03*
X118860069Y-80360490D03*
X118860069Y-81160490D03*
X118860069Y-81960490D03*
X118860069Y-82760490D03*
X118860069Y-83560490D03*
X118860069Y-84360490D03*
X118860069Y-85160490D03*
X118860069Y-85960490D03*
X118860069Y-86760490D03*
X118860069Y-87560490D03*
X118860069Y-88360490D03*
X118860069Y-89160490D03*
X118860069Y-89960490D03*
X118860069Y-90760490D03*
X118860069Y-91560490D03*
X118860069Y-92360490D03*
%TD*%
D10*
%TO.C,RN7*%
X154496469Y-96834478D03*
D11*
X155296469Y-96834478D03*
X156096469Y-96834478D03*
D10*
X156896469Y-96834478D03*
X156896469Y-95034478D03*
D11*
X156096469Y-95034478D03*
X155296469Y-95034478D03*
D10*
X154496469Y-95034478D03*
%TD*%
%TO.C,RN6*%
X141796469Y-96834478D03*
D11*
X142596469Y-96834478D03*
X143396469Y-96834478D03*
D10*
X144196469Y-96834478D03*
X144196469Y-95034478D03*
D11*
X143396469Y-95034478D03*
X142596469Y-95034478D03*
D10*
X141796469Y-95034478D03*
%TD*%
%TO.C,RN2*%
X108776469Y-96834478D03*
D11*
X109576469Y-96834478D03*
X110376469Y-96834478D03*
D10*
X111176469Y-96834478D03*
X111176469Y-95034478D03*
D11*
X110376469Y-95034478D03*
X109576469Y-95034478D03*
D10*
X108776469Y-95034478D03*
%TD*%
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,13 @@
M48
; DRILL file {KiCad (6.0.0)} date 2022 March 26, Saturday 19:57:20
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2022-03-26T19:57:20+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.0)
; #@! TF.FileFunction,NonPlated,1,4,NPTH
FMAT,2
INCH
%
G90
G05
T0
M30

View File

@ -0,0 +1,308 @@
M48
; DRILL file {KiCad (6.0.0)} date 2022 March 26, Saturday 19:57:20
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2022-03-26T19:57:20+01:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.0)
; #@! TF.FileFunction,Plated,1,4,PTH
FMAT,2
INCH
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.0150
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T2C0.0157
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T3C0.0197
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T4C0.0315
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T5C0.0394
%
G90
G05
T1
X3.6615Y-4.3148
X3.8615Y-4.3228
X3.9755Y-2.8173
X3.9795Y-3.2898
X3.9795Y-3.4788
X3.9795Y-3.3213
X3.9795Y-3.4158
X3.9795Y-3.5103
X3.9795Y-3.5418
X3.9795Y-3.6047
X3.9795Y-3.2583
X3.9795Y-3.3528
X3.9795Y-3.4473
X3.9805Y-3.3843
X3.9805Y-3.2268
X3.9805Y-3.5732
X4.0795Y-3.6362
X4.1325Y-3.7015
X4.164Y-3.7015
X4.1955Y-3.7015
X4.227Y-3.7015
X4.2825Y-3.7015
X4.314Y-3.7015
X4.3269Y-4.175
X4.3455Y-3.7015
X4.3695Y-3.9819
X4.377Y-3.7015
X4.4133Y-2.7873
X4.4303Y-3.6362
X4.4343Y-3.2233
X4.4363Y-3.5692
X4.4373Y-3.3763
X4.4823Y-2.7873
X4.4833Y-3.7438
X4.4863Y-4.2723
X4.5118Y-4.2973
X4.5313Y-3.2818
X4.5315Y-3.4723
X4.5374Y-4.2723
X4.5623Y-3.0798
X4.5623Y-3.3768
X4.563Y-4.2973
X4.5886Y-4.2723
X4.6275Y-2.8173
X4.6695Y-4.2179
X4.7303Y-3.2908
X4.7313Y-3.4828
X4.7325Y-3.5733
X4.7325Y-3.6363
X4.7335Y-3.2273
X4.7375Y-3.3783
X4.7825Y-3.7015
X4.814Y-3.7015
X4.8143Y-3.1568
X4.8143Y-3.2918
X4.8195Y-3.9819
X4.8255Y-4.2179
X4.8455Y-3.7015
X4.8595Y-4.2715
X4.877Y-3.7015
X4.8851Y-4.2974
X4.9107Y-4.2718
X4.9325Y-3.7015
X4.9363Y-4.2973
X4.9618Y-4.2723
X4.964Y-3.7015
X4.9874Y-4.2973
X4.9955Y-3.7015
X5.013Y-4.2723
X5.027Y-3.7015
X5.0386Y-4.2973
X5.0633Y-2.7873
X5.0793Y-3.3943
X5.0823Y-3.2993
X5.0833Y-3.2268
X5.0833Y-3.6362
X5.1275Y-4.2179
X5.1323Y-2.7873
X5.1335Y-3.7467
X5.1823Y-3.2583
X5.1823Y-3.3213
X5.1823Y-3.3528
X5.1823Y-3.4158
X5.1823Y-3.4473
X5.1823Y-3.5103
X5.1823Y-3.4788
X5.1823Y-3.5742
X5.1823Y-3.6047
X5.1823Y-3.5418
X5.2093Y-3.0788
X5.232Y-4.2602
X5.2775Y-3.5733
X5.2795Y-2.8173
X5.2795Y-3.2583
X5.2795Y-3.3213
X5.2795Y-3.3528
X5.2795Y-3.4158
X5.2795Y-3.4473
X5.2795Y-3.5103
X5.2795Y-3.5418
X5.2795Y-3.6047
X5.331Y-4.2872
X5.354Y-4.2642
X5.3767Y-4.2412
X5.3799Y-3.4687
X5.3805Y-3.3853
X5.3805Y-3.6363
X5.3895Y-3.2183
X5.3945Y-3.2848
X5.4001Y-4.2182
X5.4325Y-3.7015
X5.464Y-3.7015
X5.4955Y-3.7015
X5.527Y-3.7015
X5.5825Y-3.7015
X5.614Y-3.7015
X5.6455Y-3.7015
X5.677Y-3.7015
X5.7133Y-2.7873
X5.7245Y-3.2843
X5.7305Y-3.4663
X5.7321Y-3.3846
X5.7323Y-3.2233
X5.7323Y-3.6362
X5.7823Y-2.7873
X5.7845Y-3.7437
X5.8037Y-3.9919
X5.8287Y-4.2723
X5.8295Y-3.5687
X5.8543Y-4.2973
X5.864Y-3.0804
X5.864Y-3.3784
X5.8799Y-4.2723
X5.9055Y-4.2973
X5.9286Y-2.8173
X5.9311Y-4.2723
X5.9567Y-4.2973
X5.9823Y-4.2723
X6.0056Y-4.3476
X6.0079Y-4.2973
X6.0313Y-3.6362
X6.0315Y-3.5732
X6.0335Y-4.2706
X6.0345Y-3.2898
X6.0365Y-3.3793
X6.0375Y-3.2223
X6.0445Y-3.4788
X6.0825Y-3.7015
X6.0935Y-4.2179
X6.114Y-3.7015
X6.124Y-4.1742
X6.1455Y-3.7015
X6.177Y-3.7015
X6.2Y-4.2612
X6.2223Y-3.2368
X6.2325Y-3.7015
X6.2345Y-3.9744
X6.264Y-3.7015
X6.2787Y-4.2723
X6.2955Y-3.7015
X6.3043Y-4.2973
X6.327Y-3.7015
X6.3299Y-4.2723
X6.3633Y-2.7873
X6.3705Y-3.4158
X6.3793Y-3.2994
X6.3823Y-3.6362
X6.3823Y-3.2368
X6.3923Y-3.7062
X6.4323Y-2.7873
X6.444Y-3.7752
X6.469Y-3.8002
X6.4823Y-3.4788
X6.4823Y-3.2583
X6.4823Y-3.3213
X6.4823Y-3.4473
X6.4823Y-3.5103
X6.4823Y-3.5418
X6.4823Y-3.5732
X6.4823Y-3.6047
X6.494Y-3.8252
X6.4988Y-3.3477
X6.4995Y-3.3798
X6.502Y-4.2771
X6.519Y-3.8002
X6.5435Y-4.2179
X6.544Y-3.8252
X6.569Y-3.8002
X6.594Y-3.8252
X6.619Y-3.8002
X6.644Y-3.8252
X6.669Y-3.8002
X6.694Y-3.8252
X6.719Y-3.8002
X6.7196Y-3.4513
X6.721Y-3.3944
X6.721Y-3.4229
X6.739Y-3.9752
X6.744Y-3.8252
X6.7576Y-3.2438
X6.7615Y-4.2824
X6.764Y-4.0002
X6.789Y-3.9752
X6.814Y-4.0002
X6.839Y-3.9752
X6.8615Y-4.2824
X6.864Y-4.0002
X6.8734Y-3.5004
X6.889Y-3.9752
X6.914Y-4.0002
X6.939Y-3.9752
X6.9615Y-4.2824
X6.964Y-4.0002
X6.989Y-3.9753
X7.0124Y-3.4354
X7.014Y-4.0002
X7.0482Y-3.6509
X7.0861Y-3.4963
X7.0939Y-3.7065
X7.1024Y-3.1064
X7.1045Y-3.518
X7.1078Y-3.336
X7.1284Y-3.537
X7.162Y-4.2792
X7.1774Y-3.2664
X7.1939Y-3.45
X7.2062Y-3.5943
X7.2271Y-3.7759
X7.2331Y-3.8457
X7.2424Y-3.1314
X7.2459Y-3.9286
X7.2643Y-3.1979
X7.2819Y-3.37
X7.2983Y-3.2026
X7.3222Y-3.2276
X7.3382Y-3.8169
X7.3509Y-3.607
X7.3516Y-4.3251
X7.3682Y-3.2651
X7.391Y-3.9702
X7.4286Y-3.1665
X7.4517Y-3.3498
X7.4626Y-4.3521
X7.5519Y-3.465
X7.5619Y-3.5952
X7.6339Y-3.3893
X7.6345Y-3.3518
X7.6825Y-3.5119
X7.7869Y-3.121
X7.9819Y-2.92
X8.06Y-3.48
X8.06Y-3.52
X8.06Y-3.56
X8.0625Y-3.8575
X8.0949Y-2.881
X8.175Y-3.48
X8.29Y-3.48
X8.29Y-3.52
X8.29Y-3.56
T2
X3.5615Y-4.3528
X4.0615Y-4.3528
X4.1615Y-4.3528
X4.8615Y-4.3528
X5.4615Y-4.3528
X6.0915Y-4.3509
X6.6615Y-4.2668
X7.2615Y-4.3382
X7.8615Y-4.3382
X8.3615Y-4.3382
X8.4615Y-4.3382
T3
X7.5831Y-3.2065
X7.6631Y-3.2065
T4
X8.2631Y-4.1402
X8.3615Y-4.1402
T5
X8.3921Y-2.8449
X8.3921Y-2.9449
X8.3921Y-3.0449
X8.3921Y-3.1449
X8.3921Y-3.2449
X8.3921Y-3.3449
T0
M30

View File

@ -0,0 +1,43 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"C4","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-32.651873,11.844574,0.000000,bottom
"C5","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-44.234273,11.844574,0.000000,bottom
"C6","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-68.694473,11.844574,0.000000,bottom
"C7","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-80.403873,11.844574,0.000000,bottom
"C8","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-115.656693,45.804138,0.000000,bottom
"C9","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-97.146518,23.353699,135.000000,bottom
"C10","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-97.019419,21.337612,-45.000000,bottom
"C11","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-93.590419,26.163612,45.000000,bottom
"C12","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-95.749419,34.672612,-45.000000,bottom
"C13","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-94.384054,40.079658,180.000000,bottom
"C14","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-105.944785,31.048697,135.000000,bottom
"C15","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-102.693142,32.551684,-45.000000,bottom
"C16","10uF","C_1206_3216Metric_Pad1.33x1.80mm_HandSolder",-96.791939,29.365132,135.000000,bottom
"C17","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-75.464785,25.714697,-90.000000,bottom
"C18","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-78.151642,29.059484,90.000000,bottom
"C19","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-72.156142,36.767284,180.000000,bottom
"C20","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-74.569142,49.199284,180.000000,bottom
"C21","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-78.150542,32.386884,-90.000000,bottom
"C22","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-64.085142,31.357284,90.000000,bottom
"C23","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-64.085142,34.659284,-90.000000,bottom
"C24","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-59.005142,25.769284,-90.000000,bottom
"C25","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-58.998942,29.110284,90.000000,bottom
"C26","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-58.998942,32.437684,-90.000000,bottom
"C27","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-58.973542,36.196884,-90.000000,bottom
"C28","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-58.059142,49.199284,180.000000,bottom
"C29","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-49.777642,31.800284,90.000000,bottom
"C30","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-50.031642,36.499284,90.000000,bottom
"C31","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-42.469742,25.769284,-90.000000,bottom
"C32","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-44.851142,29.071884,90.000000,bottom
"C33","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-42.438142,31.903684,-90.000000,bottom
"C34","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-42.438142,36.297884,-90.000000,bottom
"C35","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-41.549142,49.264084,180.000000,bottom
"C36","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-30.931942,31.509484,90.000000,bottom
"C37","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-30.928102,34.848490,-90.000000,bottom
"C38","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-25.959741,25.769285,-90.000000,bottom
"C39","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-25.953542,29.121884,90.000000,bottom
"C40","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-25.953542,32.474684,-90.000000,bottom
"C41","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-25.953542,36.120084,-90.000000,bottom
"C42","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-25.089942,49.264084,180.000000,bottom
"C43","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-11.989742,31.408684,90.000000,bottom
"C44","0.1uF","C_0603_1608Metric_Pad1.08x0.95mm_HandSolder",-11.989742,34.736084,-90.000000,bottom
"R2","1K","R_0603_1608Metric_Pad0.98x0.95mm_HandSolder",-19.949493,12.936538,180.000000,bottom
1 Ref Val Package PosX PosY Rot Side
2 C4 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -32.651873 11.844574 0.000000 bottom
3 C5 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -44.234273 11.844574 0.000000 bottom
4 C6 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -68.694473 11.844574 0.000000 bottom
5 C7 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -80.403873 11.844574 0.000000 bottom
6 C8 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -115.656693 45.804138 0.000000 bottom
7 C9 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -97.146518 23.353699 135.000000 bottom
8 C10 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -97.019419 21.337612 -45.000000 bottom
9 C11 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -93.590419 26.163612 45.000000 bottom
10 C12 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -95.749419 34.672612 -45.000000 bottom
11 C13 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -94.384054 40.079658 180.000000 bottom
12 C14 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -105.944785 31.048697 135.000000 bottom
13 C15 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -102.693142 32.551684 -45.000000 bottom
14 C16 10uF C_1206_3216Metric_Pad1.33x1.80mm_HandSolder -96.791939 29.365132 135.000000 bottom
15 C17 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -75.464785 25.714697 -90.000000 bottom
16 C18 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -78.151642 29.059484 90.000000 bottom
17 C19 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -72.156142 36.767284 180.000000 bottom
18 C20 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -74.569142 49.199284 180.000000 bottom
19 C21 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -78.150542 32.386884 -90.000000 bottom
20 C22 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -64.085142 31.357284 90.000000 bottom
21 C23 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -64.085142 34.659284 -90.000000 bottom
22 C24 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -59.005142 25.769284 -90.000000 bottom
23 C25 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -58.998942 29.110284 90.000000 bottom
24 C26 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -58.998942 32.437684 -90.000000 bottom
25 C27 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -58.973542 36.196884 -90.000000 bottom
26 C28 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -58.059142 49.199284 180.000000 bottom
27 C29 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -49.777642 31.800284 90.000000 bottom
28 C30 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -50.031642 36.499284 90.000000 bottom
29 C31 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -42.469742 25.769284 -90.000000 bottom
30 C32 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -44.851142 29.071884 90.000000 bottom
31 C33 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -42.438142 31.903684 -90.000000 bottom
32 C34 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -42.438142 36.297884 -90.000000 bottom
33 C35 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -41.549142 49.264084 180.000000 bottom
34 C36 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -30.931942 31.509484 90.000000 bottom
35 C37 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -30.928102 34.848490 -90.000000 bottom
36 C38 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -25.959741 25.769285 -90.000000 bottom
37 C39 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -25.953542 29.121884 90.000000 bottom
38 C40 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -25.953542 32.474684 -90.000000 bottom
39 C41 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -25.953542 36.120084 -90.000000 bottom
40 C42 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -25.089942 49.264084 180.000000 bottom
41 C43 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -11.989742 31.408684 90.000000 bottom
42 C44 0.1uF C_0603_1608Metric_Pad1.08x0.95mm_HandSolder -11.989742 34.736084 -90.000000 bottom
43 R2 1K R_0603_1608Metric_Pad0.98x0.95mm_HandSolder -19.949493 12.936538 180.000000 bottom

View File

@ -0,0 +1,169 @@
{
"Header": {
"GenerationSoftware": {
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "(6.0.0)"
},
"CreationDate": "2022-03-26T19:57:18+01:00"
},
"GeneralSpecs": {
"ProjectId": {
"Name": "GottaGoFaSDZ3",
"GUID": "476f7474-6147-46f4-9661-53445a332e6b",
"Revision": "rev?"
},
"Size": {
"X": 129.59,
"Y": 52.8476
},
"LayerNumber": 4,
"BoardThickness": 4.69,
"Finish": "None"
},
"DesignRules": [
{
"Layers": "Outer",
"PadToPad": 0.0889,
"PadToTrack": 0.0889,
"TrackToTrack": 0.0889,
"MinLineWidth": 0.1524,
"TrackToRegion": 0.508,
"RegionToRegion": 0.508
},
{
"Layers": "Inner",
"PadToPad": 0.0889,
"PadToTrack": 0.0889,
"TrackToTrack": 0.0889,
"TrackToRegion": 0.254,
"RegionToRegion": 0.254
}
],
"FilesAttributes": [
{
"Path": "GottaGoFaSDZ3-F_Cu.gbr",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-In1_Cu.gbr",
"FileFunction": "Copper,L2,Inr",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-In2_Cu.gbr",
"FileFunction": "Copper,L3,Inr",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-B_Cu.gbr",
"FileFunction": "Copper,L4,Bot",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-F_Paste.gbr",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-B_Paste.gbr",
"FileFunction": "SolderPaste,Bot",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-F_Silkscreen.gbr",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-B_Silkscreen.gbr",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "GottaGoFaSDZ3-F_Mask.gbr",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "GottaGoFaSDZ3-B_Mask.gbr",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "GottaGoFaSDZ3-Edge_Cuts.gbr",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup": [
{
"Type": "Legend",
"Name": "Top Silk Screen"
},
{
"Type": "SolderPaste",
"Name": "Top Solder Paste"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Top Solder Mask"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "F.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.51,
"Material": "FR4",
"Name": "F.Cu/In1.Cu",
"Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "In1.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.51,
"Material": "FR4",
"Name": "In1.Cu/In2.Cu",
"Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "In2.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.51,
"Material": "FR4",
"Name": "In2.Cu/B.Cu",
"Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "B.Cu"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Bottom Solder Mask"
},
{
"Type": "SolderPaste",
"Name": "Bottom Solder Paste"
},
{
"Type": "Legend",
"Name": "Bottom Silk Screen"
}
]
}

View File

@ -0,0 +1,23 @@
Ref,Val,Package,PosX,PosY,Rot,Side
"C2","10uF","C_1206_3216Metric_Pad1.33x1.80mm_HandSolder",123.571000,19.650613,0.000000,top
"C3","10uF","C_1206_3216Metric_Pad1.33x1.80mm_HandSolder",118.160800,19.701413,180.000000,top
"R1","33","R_0603_1608Metric_Pad0.98x0.95mm_HandSolder",113.932665,35.684617,180.000000,top
"RN1","33","R_Array_Convex_4x0603",18.244542,23.047296,90.000000,top
"RN2","33","R_Array_Convex_4x0603",22.054542,23.047296,90.000000,top
"RN3","33","R_Array_Convex_4x0603",34.754542,23.047296,90.000000,top
"RN4","33","R_Array_Convex_4x0603",38.564542,23.047296,90.000000,top
"RN5","33","R_Array_Convex_4x0603",51.264542,23.047296,90.000000,top
"RN6","33","R_Array_Convex_4x0603",55.074542,23.047296,90.000000,top
"RN7","33","R_Array_Convex_4x0603",67.774542,23.047296,90.000000,top
"RN8","33","R_Array_Convex_4x0603",71.584542,23.047296,90.000000,top
"U1","LM1117-3.3","SOT-223-3_TabPin2",119.718292,25.532922,90.000000,top
"U2","74LVCR2245","TSSOP-20_4.4x6.5mm_P0.65mm",27.004041,14.723796,-90.000000,top
"U3","74LVCR2245","TSSOP-20_4.4x6.5mm_P0.65mm",38.434041,14.723796,-90.000000,top
"U4","74LVCR2245","TSSOP-20_4.4x6.5mm_P0.65mm",63.052853,14.723796,-90.000000,top
"U5","74LVCR2245","TSSOP-20_4.4x6.5mm_P0.65mm",74.482853,14.723796,-90.000000,top
"U6","XC95144XL-TQ100","TQFP-100_14x14mm_P0.5mm",96.791939,29.365132,135.000000,top
"U7","AS4C32M16SC","TSOP-II-54_22.2x10.16mm_P0.8mm",20.178142,37.021284,180.000000,top
"U8","AS4C32M16SC","TSOP-II-54_22.2x10.16mm_P0.8mm",36.688142,37.021284,180.000000,top
"U9","AS4C32M16SC","TSOP-II-54_22.2x10.16mm_P0.8mm",53.198142,37.021284,180.000000,top
"U10","AS4C32M16SC","TSOP-II-54_22.2x10.16mm_P0.8mm",69.708142,37.021284,180.000000,top
"X1","50MHz","Oscillator_SMD_Abracon_ASV-4Pin_7.0x5.1mm_HandSoldering",112.357865,40.739017,-90.000000,top
1 Ref Val Package PosX PosY Rot Side
2 C2 10uF C_1206_3216Metric_Pad1.33x1.80mm_HandSolder 123.571000 19.650613 0.000000 top
3 C3 10uF C_1206_3216Metric_Pad1.33x1.80mm_HandSolder 118.160800 19.701413 180.000000 top
4 R1 33 R_0603_1608Metric_Pad0.98x0.95mm_HandSolder 113.932665 35.684617 180.000000 top
5 RN1 33 R_Array_Convex_4x0603 18.244542 23.047296 90.000000 top
6 RN2 33 R_Array_Convex_4x0603 22.054542 23.047296 90.000000 top
7 RN3 33 R_Array_Convex_4x0603 34.754542 23.047296 90.000000 top
8 RN4 33 R_Array_Convex_4x0603 38.564542 23.047296 90.000000 top
9 RN5 33 R_Array_Convex_4x0603 51.264542 23.047296 90.000000 top
10 RN6 33 R_Array_Convex_4x0603 55.074542 23.047296 90.000000 top
11 RN7 33 R_Array_Convex_4x0603 67.774542 23.047296 90.000000 top
12 RN8 33 R_Array_Convex_4x0603 71.584542 23.047296 90.000000 top
13 U1 LM1117-3.3 SOT-223-3_TabPin2 119.718292 25.532922 90.000000 top
14 U2 74LVCR2245 TSSOP-20_4.4x6.5mm_P0.65mm 27.004041 14.723796 -90.000000 top
15 U3 74LVCR2245 TSSOP-20_4.4x6.5mm_P0.65mm 38.434041 14.723796 -90.000000 top
16 U4 74LVCR2245 TSSOP-20_4.4x6.5mm_P0.65mm 63.052853 14.723796 -90.000000 top
17 U5 74LVCR2245 TSSOP-20_4.4x6.5mm_P0.65mm 74.482853 14.723796 -90.000000 top
18 U6 XC95144XL-TQ100 TQFP-100_14x14mm_P0.5mm 96.791939 29.365132 135.000000 top
19 U7 AS4C32M16SC TSOP-II-54_22.2x10.16mm_P0.8mm 20.178142 37.021284 180.000000 top
20 U8 AS4C32M16SC TSOP-II-54_22.2x10.16mm_P0.8mm 36.688142 37.021284 180.000000 top
21 U9 AS4C32M16SC TSOP-II-54_22.2x10.16mm_P0.8mm 53.198142 37.021284 180.000000 top
22 U10 AS4C32M16SC TSOP-II-54_22.2x10.16mm_P0.8mm 69.708142 37.021284 180.000000 top
23 X1 50MHz Oscillator_SMD_Abracon_ASV-4Pin_7.0x5.1mm_HandSoldering 112.357865 40.739017 -90.000000 top

32
Kicad/.gitignore vendored Normal file
View File

@ -0,0 +1,32 @@
# For PCBs designed using KiCad: http://www.kicad-pcb.org/
# Format documentation: http://kicad-pcb.org/help/file-formats/
# Temporary files
*.000
*.bak
*.bck
*.kicad_pcb-bak
*.kicad_sch-bak
*.kicad_prl
*.sch-bak
*~
_autosave-*
*.tmp
*-save.pro
*-save.kicad_pcb
fp-info-cache
# Netlist files (exported from Eeschema)
*.net
# Autorouter files (exported from Pcbnew)
*.dsn
*.ses
# Exported BOM files
*.xml
*.csv
#Backups
*-backups/**
*.zip

35119
Kicad/GottaGoFaSDZ3.kicad_pcb Normal file

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,548 @@
{
"board": {
"design_settings": {
"defaults": {
"board_outline_line_width": 0.049999999999999996,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.762,
"height": 1.524,
"width": 1.524
},
"silk_line_width": 0.12,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.254
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.024999999999999998,
"min_hole_clearance": 0.0,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.127,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"use_height_for_length_calcs": true
},
"track_widths": [
0.0,
0.1524,
0.1778,
0.254,
0.381
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.381,
"drill": 0.2032
},
{
"diameter": 0.635,
"drill": 0.381
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
0,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "error",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "GottaGoFaSDZ3.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"clearance": 0.1778,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.1778,
"via_diameter": 0.635,
"via_drill": 0.381,
"wire_width": 6.0
},
{
"bus_width": 12.0,
"clearance": 0.0889,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Power",
"nets": [
"+3V3",
"+5V",
"GND"
],
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.1778,
"via_diameter": 0.635,
"via_drill": 0.381,
"wire_width": 6.0
},
{
"bus_width": 12.0,
"clearance": 0.0889,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Ram_Tight",
"nets": [
"/BA0",
"/BA1",
"/CKE",
"/DQM0",
"/DQM1",
"/DQM2",
"/DQM3",
"/MA0",
"/MA1",
"/MA10",
"/MA11",
"/MA12",
"/MA2",
"/MA3",
"/MA4",
"/MA5",
"/MA6",
"/MA7",
"/MA8",
"/MA9",
"/MD0",
"/MD1",
"/MD10",
"/MD11",
"/MD12",
"/MD13",
"/MD14",
"/MD15",
"/MD16",
"/MD17",
"/MD18",
"/MD19",
"/MD2",
"/MD20",
"/MD21",
"/MD22",
"/MD23",
"/MD24",
"/MD25",
"/MD26",
"/MD27",
"/MD28",
"/MD29",
"/MD3",
"/MD30",
"/MD31",
"/MD4",
"/MD5",
"/MD6",
"/MD7",
"/MD8",
"/MD9",
"/MEMCLK",
"/~{CAS}",
"/~{CS0}",
"/~{CS1}",
"/~{RAS}",
"/~{WE}",
"unconnected-(U10-Pad40)",
"unconnected-(U7-Pad40)",
"unconnected-(U8-Pad40)",
"unconnected-(U9-Pad40)"
],
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.1524,
"via_diameter": 0.635,
"via_drill": 0.381,
"wire_width": 6.0
}
],
"meta": {
"version": 2
},
"net_colors": null
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"specctra_dsn": "",
"step": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"drawing": {
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "../Docs/",
"spice_adjust_passive_values": false,
"spice_external_command": "spice \"%I\"",
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"e63e39d7-6ac0-4ffd-8aa3-1841a4541b55",
""
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,166 @@
(footprint "Zorro3" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 6210C0DE)
(attr smd)
(fp_text reference "REF**" (at -5.08 -5.08 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp bf8bfbb4-4b7a-430e-865f-8acab9f8c04d)
)
(fp_text value "Zorro3" (at 4.572 -5.08 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 9fb9a654-045f-4c58-ba9d-e6e9d641e3ae)
)
(fp_text user "1" (at 124.46 -5.08) (layer "B.SilkS")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
(tstamp 3fb63427-8f07-4038-bb0b-252afad79a1a)
)
(fp_text user "49" (at 63.5 -5.08) (layer "B.SilkS")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
(tstamp 604c8452-3b86-4f46-887c-dd5a1180b0ff)
)
(fp_text user "99" (at 0 -5.08) (layer "B.SilkS")
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
(tstamp a6ce5eea-6007-4656-b110-73d5de8b05a3)
)
(fp_text user "100" (at 0 -5.08 180) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 4b15564a-7af2-464f-bae5-b29d4bfb60f0)
)
(fp_text user "2" (at 124.46 -5.08) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 8d269513-8f50-42eb-931b-66d7ea887c24)
)
(fp_text user "50" (at 63.5 -5.08) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp dfd9bc4b-51b5-453e-8586-9413909f0499)
)
(fp_text user "<- A3000 Front" (at 5.08 5.08) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 6873a01f-39d3-47ae-a47c-76dc6d3ac34d)
)
(fp_text user "Component side" (at 63.5 6.35) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 81f12ea6-79b4-4ef9-8e76-1536464c06c7)
)
(fp_poly (pts
(xy 128.27 5.08)
(xy -3.81 5.08)
(xy -3.81 -3.81)
(xy 128.27 -3.81)
) (layer "B.Mask") (width 0.1) (fill solid) (tstamp 7d3e404f-c745-40c2-b6aa-ac726c1c0c5b))
(fp_poly (pts
(xy 128.27 5.08)
(xy -3.81 5.08)
(xy -3.81 -3.81)
(xy 128.27 -3.81)
) (layer "F.Mask") (width 0.1) (fill solid) (tstamp 6e458cbb-c702-4d9b-91cd-02e0e506931e))
(fp_line (start 128.27 -3.4) (end 133.477 -3.4) (layer "F.Fab") (width 0.12) (tstamp 2798371a-78d0-4a3e-8a5e-03fb36b8dcf7))
(fp_line (start -3.81 -3.4) (end -9.017 -3.4) (layer "F.Fab") (width 0.12) (tstamp 31bcc252-1418-4877-b5ef-6cba8a364cba))
(fp_line (start 127 2.73) (end 127 -2.159) (layer "F.Fab") (width 0.12) (tstamp 3d61f21c-8463-4865-919d-06cc81e88738))
(fp_line (start -1.27 4) (end 125.73 4) (layer "F.Fab") (width 0.12) (tstamp 42ae5710-934b-4333-848a-dd62d07de359))
(fp_line (start -2.54 2.73) (end -2.54 -2.159) (layer "F.Fab") (width 0.12) (tstamp 4901cfd5-49dc-4ee8-845d-95c9942bceea))
(fp_arc (start -3.781 -3.4) (mid -2.90348 -3.03652) (end -2.54 -2.159) (layer "F.Fab") (width 0.12) (tstamp 02417578-f9a6-4e61-b89d-df0356234449))
(fp_arc (start -1.27 4) (mid -2.168026 3.628026) (end -2.54 2.73) (layer "F.Fab") (width 0.12) (tstamp 42227669-81ae-481d-8b2b-de35e45fcc8d))
(fp_arc (start 127 -2.159) (mid 127.36348 -3.03652) (end 128.241 -3.4) (layer "F.Fab") (width 0.12) (tstamp 4518dc34-ab35-4646-b78f-0b4c573f08b3))
(fp_arc (start 127 2.73) (mid 126.628026 3.628026) (end 125.73 4) (layer "F.Fab") (width 0.12) (tstamp aea61dab-1813-43a2-b70c-03c55f1a675d))
(pad "1" connect rect (at 124.46 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp f53baa78-113f-4782-b1f5-f4f26bf4f6cf))
(pad "2" connect rect (at 124.46 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 265ccfef-b2d1-46ce-b42c-ca4753ba2bba))
(pad "3" connect rect (at 121.92 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 28140bcf-7892-4779-b126-dd662a94f51e))
(pad "4" connect rect (at 121.92 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 9d1690eb-72ef-499f-bb1b-4b929386ae69))
(pad "5" connect rect (at 119.38 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 3af0d0f3-dbf9-4e00-b262-9d3102b49e3e))
(pad "6" connect rect (at 119.38 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp b7f1724b-1d47-4bd9-8c41-10c52964ecee))
(pad "7" connect rect (at 116.84 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp d99e252d-ca35-4ce5-9032-c60456f32b23))
(pad "8" connect rect (at 116.84 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 7b76bae9-6882-4d7d-a471-0200dd291cb5))
(pad "9" connect rect (at 114.3 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 2e316573-892a-4c67-9e5b-e66a28c1ca00))
(pad "10" connect rect (at 114.3 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp e121b530-3013-401d-ba56-443ce9e5a9a8))
(pad "11" connect rect (at 111.76 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp d7476799-7373-49fa-90b2-289651d170b9))
(pad "12" connect rect (at 111.76 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp f4a5ba5f-5a6f-4529-a747-d08f9528fa62))
(pad "13" connect rect (at 109.22 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 1ba91810-9aab-4f92-92a0-f804101bb3dd))
(pad "14" connect rect (at 109.22 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp e3459507-b647-48ec-8904-fc156353773d))
(pad "15" connect rect (at 106.68 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp c016ea9b-a3b9-465f-977f-843bdc754e51))
(pad "16" connect rect (at 106.68 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 7ce16dc6-ed84-4899-83f9-fe959aaa6ffe))
(pad "17" connect rect (at 104.14 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 82806f3c-736e-453f-b684-74fc5091a881))
(pad "18" connect rect (at 104.14 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 9af64ed4-e374-44c8-99df-9e20b7cf0bd4))
(pad "19" connect rect (at 101.6 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 7944025b-5407-42a5-824a-930223cb6d3a))
(pad "20" connect rect (at 101.6 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 687c0b9b-6c33-4ff2-abd6-ccd9a1b91014))
(pad "21" connect rect (at 99.06 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 5302655e-adcd-494d-9861-53f4df5616e5))
(pad "22" connect rect (at 99.06 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 75349860-682f-4ef6-8f4a-b32c39e67759))
(pad "23" connect rect (at 96.52 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 1c170272-4fc2-419b-8c47-bf2766af67b7))
(pad "24" connect rect (at 96.52 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp a9047d2b-6209-45c2-8fe6-b2987725d674))
(pad "25" connect rect (at 93.98 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp b24e7dfc-a068-412a-a536-046614ba0536))
(pad "26" connect rect (at 93.98 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 9f9caab5-3951-4a4d-acea-05496af5fcf5))
(pad "27" connect rect (at 91.44 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 21d3ca8b-3702-4aa4-861d-f750f9555f2a))
(pad "28" connect rect (at 91.44 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp e53a4f66-c41a-4fad-8961-fa844e6a98f7))
(pad "29" connect rect (at 88.9 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 8d1a9299-99be-4f29-a208-791eaabd45a3))
(pad "30" connect rect (at 88.9 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 287a16fc-64a8-45cb-a1e5-189cc04cc605))
(pad "31" connect rect (at 86.36 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 894ce5ba-d2ed-4bd6-988f-a5cebcefa6c2))
(pad "32" connect rect (at 86.36 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 2d43e50b-1458-418b-9ec9-aaa78002d7e0))
(pad "33" connect rect (at 83.82 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 4de50725-3bc6-4180-b9b3-15821ee0a110))
(pad "34" connect rect (at 83.82 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 2f39e413-3544-46b4-ac00-e88ffe6c23e0))
(pad "35" connect rect (at 81.28 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp ab7f1433-d029-4ac4-bfe6-4451de8ef4d6))
(pad "36" connect rect (at 81.28 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 772d73dd-168d-468d-be23-9b290d430eec))
(pad "37" connect rect (at 78.74 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp cddf7bc8-80b4-44c7-b794-85bb23a0fa34))
(pad "38" connect rect (at 78.74 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 8e3c3772-fa32-4abd-b9a6-c0f7a6a5da8d))
(pad "39" connect rect (at 76.2 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp c9335b02-7f99-4afe-8b53-bf961dd24eb9))
(pad "40" connect rect (at 76.2 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 0f5d8f63-8313-43a3-89cd-b6f26ec6f592))
(pad "41" connect rect (at 73.66 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 361de23a-bd59-43c9-841d-d8709b5c33e1))
(pad "42" connect rect (at 73.66 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp f70f69eb-c56e-4606-8144-d3c4fff8c54e))
(pad "43" connect rect (at 71.12 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 9ea27d73-fcff-48ad-bb96-f4efda2a7c52))
(pad "44" connect rect (at 71.12 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 1ab9a54a-0d2e-4815-ab5a-0137ad93f6e6))
(pad "45" connect rect (at 68.58 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 8c77c579-0b21-4a8c-8fde-f0ab5049a78b))
(pad "46" connect rect (at 68.58 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 526d1ef8-cace-46e2-8267-c1ad14aa692c))
(pad "47" connect rect (at 66.04 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp ded8d1e4-a756-45fb-b900-11912758cb96))
(pad "48" connect rect (at 66.04 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp c5fbce78-3c5b-408f-b2d9-643f163d97bd))
(pad "49" connect rect (at 63.5 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 05d69745-28e1-44e7-9edc-318d191edb59))
(pad "50" connect rect (at 63.5 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 58b9aa07-6187-4604-b7ef-aa35dd86e69f))
(pad "51" connect rect (at 60.96 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp b04465e9-ad83-4d54-8b30-73e7c350c297))
(pad "52" connect rect (at 60.96 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp b11de678-5a1b-4645-977e-d01fbb62650b))
(pad "53" connect rect (at 58.42 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp c49e1f14-c2a8-457b-b542-3379c6ba9356))
(pad "54" connect rect (at 58.42 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 5c6b7004-a2ee-4e72-af4e-74a84ca656c7))
(pad "55" connect rect (at 55.88 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 03e585c0-8219-4006-b318-2222ff8a24a4))
(pad "56" connect rect (at 55.88 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp ab44dc22-748c-44d3-b70e-fcc58f152f22))
(pad "57" connect rect (at 53.34 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 8a967d0d-9569-4d61-bbfb-0563d4af3aba))
(pad "58" connect rect (at 53.34 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 257be43c-b5e8-4336-945a-a2f5d180e90f))
(pad "59" connect rect (at 50.8 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 6b9f2766-c770-4812-8e7b-8fc779f4e712))
(pad "60" connect rect (at 50.8 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 5a947c71-e507-4ddf-a74c-16f638d03b14))
(pad "61" connect rect (at 48.26 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 47b7e075-c297-42b7-a171-7d466218073f))
(pad "62" connect rect (at 48.26 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp f6c58c3e-e096-4d15-8c25-cba9601b88ed))
(pad "63" connect rect (at 45.72 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 803c074d-32ee-4439-b54f-2161986c6eec))
(pad "64" connect rect (at 45.72 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 27ad1331-c7c7-493c-831f-64fb9b8b89a1))
(pad "65" connect rect (at 43.18 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp dff8640c-048f-42a9-813f-8b49ac271103))
(pad "66" connect rect (at 43.18 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp d68a93f2-8520-42c6-9e98-7c9eafe5ca55))
(pad "67" connect rect (at 40.64 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp dbbdd565-d566-443f-b78f-4d3072e5633c))
(pad "68" connect rect (at 40.64 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp b2951020-2707-4878-95a2-f0b42ffaf4cc))
(pad "69" connect rect (at 38.1 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp e53bcdb9-0b81-4541-afca-58f9ea0cc3e5))
(pad "70" connect rect (at 38.1 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 62073e73-c497-460c-892f-ecee1dbbf33e))
(pad "71" connect rect (at 35.56 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 8a3ddb82-0e98-4f37-bff0-089c61569fa4))
(pad "72" connect rect (at 35.56 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 50b584a6-1ab0-4293-91a6-2f2a0771e78d))
(pad "73" connect rect (at 33.02 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp fb6732a1-1c7d-40ae-8f93-19e2d87cf1aa))
(pad "74" connect rect (at 33.02 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 03dac6ef-6bbd-4178-85ba-0f3bf0f1a76a))
(pad "75" connect rect (at 30.48 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp f9a11e9c-703c-443b-88c7-b4f88c1fbfe9))
(pad "76" connect rect (at 30.48 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp b43774d3-1897-4c57-a146-96c6caeba348))
(pad "77" connect rect (at 27.94 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp a104c35f-f27e-4d45-a7a7-500268b605a4))
(pad "78" connect rect (at 27.94 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp c196a2a6-20c1-43f2-a0f3-914be63e317e))
(pad "79" connect rect (at 25.4 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 36ab6b3d-b745-4130-a0e2-7bf2559d70b5))
(pad "80" connect rect (at 25.4 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 470320e2-7a4a-4db7-a4e6-edb1dc9aa1bf))
(pad "81" connect rect (at 22.86 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp debc0703-92d7-4b23-9bc6-bb7bf4610d9e))
(pad "82" connect rect (at 22.86 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 1a040363-0607-4902-a72a-1d5624d7c82c))
(pad "83" connect rect (at 20.32 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 625bf40a-ef8d-450d-8f0b-60eebe40e343))
(pad "84" connect rect (at 20.32 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 8b915f7e-5906-415d-b180-7e44b93e0fa0))
(pad "85" connect rect (at 17.78 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp b9b8c0b1-ada1-40c3-83cc-376afde39596))
(pad "86" connect rect (at 17.78 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp be9828e8-7ae9-4348-ad70-c43f0f365654))
(pad "87" connect rect (at 15.24 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp c32d3453-4d9b-4421-baac-444d5173d229))
(pad "88" connect rect (at 15.24 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 150bc0e0-394d-4289-a01d-0e659aa79dc8))
(pad "89" connect rect (at 12.7 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp b7098224-ce75-4bd3-ba96-3e2c6911e441))
(pad "90" connect rect (at 12.7 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 82cda215-417b-437b-b55e-1d146a222992))
(pad "91" connect rect (at 10.16 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 11aa712a-4c2f-43e0-b971-ede9d0319e63))
(pad "92" connect rect (at 10.16 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp b864bb3a-e4d1-4b9b-bdb5-75c2ae5087fa))
(pad "93" connect rect (at 7.62 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp abd5427f-f0db-4d02-93a1-06e603490f69))
(pad "94" connect rect (at 7.62 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp f1a52b5e-dce1-468d-adc2-a2e0f9c330d8))
(pad "95" connect rect (at 5.08 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 384e1615-1a3e-4675-94de-23d464795743))
(pad "96" connect rect (at 5.08 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp a75fee68-308f-4fd5-92ed-0deecff74e27))
(pad "97" connect rect (at 2.54 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 524a704a-1052-4a5c-9395-8850ea41eb10))
(pad "98" connect rect (at 2.54 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp f9e838f9-76c7-4474-bc4e-2e2ff8ad7863))
(pad "99" connect rect (at 0 0 180) (size 1.524 7.5) (layers "B.Cu" "B.Mask") (tstamp 663dce23-eb06-4198-8b7a-9d3187eb5884))
(pad "100" connect rect (at 0 0 180) (size 1.524 7.5) (layers "F.Cu" "F.Mask") (tstamp 2a674c1f-6a94-4469-ac4f-c8811deccb07))
)

View File

@ -0,0 +1,247 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(symbol "AS4C32M16SC" (in_bom yes) (on_board yes)
(property "Reference" "U" (id 0) (at -10.16 31.75 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "AS4C32M16SC" (id 1) (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at -28.575 20.955 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at -28.575 20.955 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_locked" "" (id 4) (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(symbol "AS4C32M16SC_1_1"
(rectangle (start -10.16 30.48) (end 10.16 -29.21)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin bidirectional line (at 12.7 15.24 180) (length 2.54)
(name "DQ5" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 12.7 180) (length 2.54)
(name "DQ6" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 10.16 180) (length 2.54)
(name "DQ7" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -13.97 180) (length 2.54)
(name "DQML" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -19.05 0) (length 2.54)
(name "~{WE}" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -21.59 0) (length 2.54)
(name "~{CAS}" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -24.13 0) (length 2.54)
(name "~{RAS}" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -26.67 0) (length 2.54)
(name "~{CS}" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 27.94 180) (length 2.54)
(name "DQ0" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -6.35 0) (length 2.54)
(name "BA0" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -8.89 0) (length 2.54)
(name "BA1" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 2.54 0) (length 2.54)
(name "A10" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 27.94 0) (length 2.54)
(name "A0" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 25.4 0) (length 2.54)
(name "A1" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 22.86 0) (length 2.54)
(name "A2" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 20.32 0) (length 2.54)
(name "A3" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 17.78 0) (length 2.54)
(name "A4" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 15.24 0) (length 2.54)
(name "A5" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 12.7 0) (length 2.54)
(name "A6" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 10.16 0) (length 2.54)
(name "A7" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 7.62 0) (length 2.54)
(name "A8" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 5.08 0) (length 2.54)
(name "A9" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 0 0) (length 2.54)
(name "A11" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -2.54 0) (length 2.54)
(name "A12" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -12.7 0) (length 2.54)
(name "CKE" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -15.24 0) (length 2.54)
(name "CLK" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin input line (at 12.7 -16.51 180) (length 2.54)
(name "DQMH" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 25.4 180) (length 2.54)
(name "DQ1" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin no_connect line (at 12.7 -26.67 180) (length 2.54) hide
(name "NC" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 7.62 180) (length 2.54)
(name "DQ8" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 5.08 180) (length 2.54)
(name "DQ9" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 2.54 180) (length 2.54)
(name "DQ10" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 0 180) (length 2.54)
(name "DQ11" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -2.54 180) (length 2.54)
(name "DQ12" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 22.86 180) (length 2.54)
(name "DQ2" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -5.08 180) (length 2.54)
(name "DQ13" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -7.62 180) (length 2.54)
(name "DQ14" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 -10.16 180) (length 2.54)
(name "DQ15" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 20.32 180) (length 2.54)
(name "DQ3" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 12.7 17.78 180) (length 2.54)
(name "DQ4" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
)
(symbol "AS4C32M16SC_2_1"
(rectangle (start -8.89 10.16) (end 8.89 -10.16)
(stroke (width 0.1524) (type default) (color 0 0 0 0))
(fill (type background))
)
(pin power_in line (at -11.43 7.62 0) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 -2.54 180) (length 2.54)
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 5.08 0) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 2.54 0) (length 2.54)
(name "VDD" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 7.62 180) (length 2.54)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 0 0) (length 2.54)
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 5.08 180) (length 2.54)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 -7.62 0) (length 2.54)
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 -5.08 180) (length 2.54)
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 -5.08 0) (length 2.54)
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 -7.62 180) (length 2.54)
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 2.54 180) (length 2.54)
(name "VSS" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 11.43 0 180) (length 2.54)
(name "VSSQ" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -11.43 -2.54 0) (length 2.54)
(name "VDDQ" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
)

View File

@ -0,0 +1,424 @@
(kicad_symbol_lib (version 20211014) (generator kicad_symbol_editor)
(symbol "Zorro3" (in_bom yes) (on_board yes)
(property "Reference" "CN" (id 0) (at 1.27 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Zorro3" (id 1) (at 12.7 -69.85 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (id 2) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (id 3) (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Zorro3_0_1"
(rectangle (start 0 0) (end 25.4 -129.54)
(stroke (width 0) (type default) (color 0 0 0 0))
(fill (type background))
)
)
(symbol "Zorro3_1_1"
(pin power_out line (at -2.54 -2.54 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -12.7 180) (length 2.54)
(name "+12" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -127 180) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "100" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -15.24 0) (length 2.54)
(name "~{CFGOUT}" (effects (font (size 1.27 1.27))))
(number "11" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -15.24 180) (length 2.54)
(name "~{CFGIN}" (effects (font (size 1.27 1.27))))
(number "12" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -17.78 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "13" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -17.78 180) (length 2.54)
(name "~{C3}" (effects (font (size 1.27 1.27))))
(number "14" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -20.32 0) (length 2.54)
(name "CDAC" (effects (font (size 1.27 1.27))))
(number "15" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -20.32 180) (length 2.54)
(name "~{C1}" (effects (font (size 1.27 1.27))))
(number "16" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -22.86 0) (length 2.54)
(name "~{CINH}" (effects (font (size 1.27 1.27))))
(number "17" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -22.86 180) (length 2.54)
(name "~{MTCR}" (effects (font (size 1.27 1.27))))
(number "18" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -25.4 0) (length 2.54)
(name "~{INT2}" (effects (font (size 1.27 1.27))))
(number "19" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -2.54 180) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -25.4 180) (length 2.54)
(name "-12" (effects (font (size 1.27 1.27))))
(number "20" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -27.94 0) (length 2.54)
(name "A5" (effects (font (size 1.27 1.27))))
(number "21" (effects (font (size 1.27 1.27))))
)
(pin input line (at 27.94 -27.94 180) (length 2.54)
(name "~{INT6}" (effects (font (size 1.27 1.27))))
(number "22" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -30.48 0) (length 2.54)
(name "A6" (effects (font (size 1.27 1.27))))
(number "23" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -30.48 180) (length 2.54)
(name "A4" (effects (font (size 1.27 1.27))))
(number "24" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -33.02 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "25" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -33.02 180) (length 2.54)
(name "A3" (effects (font (size 1.27 1.27))))
(number "26" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -35.56 0) (length 2.54)
(name "A2" (effects (font (size 1.27 1.27))))
(number "27" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -35.56 180) (length 2.54)
(name "A7" (effects (font (size 1.27 1.27))))
(number "28" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -38.1 0) (length 2.54)
(name "~{LOCK}" (effects (font (size 1.27 1.27))))
(number "29" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -5.08 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -38.1 180) (length 2.54)
(name "A8/D0" (effects (font (size 1.27 1.27))))
(number "30" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -40.64 0) (length 2.54)
(name "FC0" (effects (font (size 1.27 1.27))))
(number "31" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -40.64 180) (length 2.54)
(name "A9/D1" (effects (font (size 1.27 1.27))))
(number "32" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -43.18 0) (length 2.54)
(name "FC1" (effects (font (size 1.27 1.27))))
(number "33" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -43.18 180) (length 2.54)
(name "A10/D2" (effects (font (size 1.27 1.27))))
(number "34" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -45.72 0) (length 2.54)
(name "FC2" (effects (font (size 1.27 1.27))))
(number "35" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -45.72 180) (length 2.54)
(name "A11/D3" (effects (font (size 1.27 1.27))))
(number "36" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -48.26 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "37" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -48.26 180) (length 2.54)
(name "A12/D4" (effects (font (size 1.27 1.27))))
(number "38" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -50.8 0) (length 2.54)
(name "A13/D5" (effects (font (size 1.27 1.27))))
(number "39" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -5.08 180) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin no_connect non_logic (at 27.94 -50.8 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "40" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -53.34 0) (length 2.54)
(name "A14/D6" (effects (font (size 1.27 1.27))))
(number "41" (effects (font (size 1.27 1.27))))
)
(pin no_connect non_logic (at 27.94 -53.34 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "42" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -55.88 0) (length 2.54)
(name "A15/D7" (effects (font (size 1.27 1.27))))
(number "43" (effects (font (size 1.27 1.27))))
)
(pin no_connect non_logic (at 27.94 -55.88 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "44" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -58.42 0) (length 2.54)
(name "A16/D8" (effects (font (size 1.27 1.27))))
(number "45" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -58.42 180) (length 2.54)
(name "~{BERR}" (effects (font (size 1.27 1.27))))
(number "46" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -60.96 0) (length 2.54)
(name "A17/D9" (effects (font (size 1.27 1.27))))
(number "47" (effects (font (size 1.27 1.27))))
)
(pin input line (at 27.94 -60.96 180) (length 2.54)
(name "~{MTACK}" (effects (font (size 1.27 1.27))))
(number "48" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -63.5 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "49" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -7.62 0) (length 2.54)
(name "+5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -63.5 180) (length 2.54)
(name "E" (effects (font (size 1.27 1.27))))
(number "50" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -66.04 0) (length 2.54)
(name "~{DS0}" (effects (font (size 1.27 1.27))))
(number "51" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -66.04 180) (length 2.54)
(name "A18/D10" (effects (font (size 1.27 1.27))))
(number "52" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -68.58 0) (length 2.54)
(name "~{RST}" (effects (font (size 1.27 1.27))))
(number "53" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -68.58 180) (length 2.54)
(name "A19/D11" (effects (font (size 1.27 1.27))))
(number "54" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -71.12 0) (length 2.54)
(name "~{HALT}" (effects (font (size 1.27 1.27))))
(number "55" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -71.12 180) (length 2.54)
(name "A20/D12" (effects (font (size 1.27 1.27))))
(number "56" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -73.66 0) (length 2.54)
(name "A22/D14" (effects (font (size 1.27 1.27))))
(number "57" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -73.66 180) (length 2.54)
(name "A21/D13" (effects (font (size 1.27 1.27))))
(number "58" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -76.2 0) (length 2.54)
(name "A23/D15" (effects (font (size 1.27 1.27))))
(number "59" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -7.62 180) (length 2.54)
(name "+5" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at 27.94 -76.2 180) (length 2.54)
(name "~{BR}" (effects (font (size 1.27 1.27))))
(number "60" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -78.74 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "61" (effects (font (size 1.27 1.27))))
)
(pin input line (at 27.94 -78.74 180) (length 2.54)
(name "~{BGACK}" (effects (font (size 1.27 1.27))))
(number "62" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -81.28 0) (length 2.54)
(name "A31/D31" (effects (font (size 1.27 1.27))))
(number "63" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -81.28 180) (length 2.54)
(name "~{BG}" (effects (font (size 1.27 1.27))))
(number "64" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -83.82 0) (length 2.54)
(name "A30/D30" (effects (font (size 1.27 1.27))))
(number "65" (effects (font (size 1.27 1.27))))
)
(pin input line (at 27.94 -83.82 180) (length 2.54)
(name "~{DTACK}" (effects (font (size 1.27 1.27))))
(number "66" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -86.36 0) (length 2.54)
(name "A29/D29" (effects (font (size 1.27 1.27))))
(number "67" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -86.36 180) (length 2.54)
(name "READ" (effects (font (size 1.27 1.27))))
(number "68" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -88.9 0) (length 2.54)
(name "A28/D28" (effects (font (size 1.27 1.27))))
(number "69" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -10.16 0) (length 2.54)
(name "~{OWN}" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -88.9 180) (length 2.54)
(name "~{DS2}" (effects (font (size 1.27 1.27))))
(number "70" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -91.44 0) (length 2.54)
(name "A27/D27" (effects (font (size 1.27 1.27))))
(number "71" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -91.44 180) (length 2.54)
(name "~{DS3}" (effects (font (size 1.27 1.27))))
(number "72" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -93.98 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "73" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -93.98 180) (length 2.54)
(name "~{CCS}" (effects (font (size 1.27 1.27))))
(number "74" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -96.52 0) (length 2.54)
(name "SD0/D16" (effects (font (size 1.27 1.27))))
(number "75" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -96.52 180) (length 2.54)
(name "A26/D26" (effects (font (size 1.27 1.27))))
(number "76" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -99.06 0) (length 2.54)
(name "SD1/D17" (effects (font (size 1.27 1.27))))
(number "77" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -99.06 180) (length 2.54)
(name "A25/D25" (effects (font (size 1.27 1.27))))
(number "78" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -101.6 0) (length 2.54)
(name "SD2/D18" (effects (font (size 1.27 1.27))))
(number "79" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -10.16 180) (length 2.54)
(name "-5" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -101.6 180) (length 2.54)
(name "A24/D24" (effects (font (size 1.27 1.27))))
(number "80" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -104.14 0) (length 2.54)
(name "SD3/D19" (effects (font (size 1.27 1.27))))
(number "81" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -104.14 180) (length 2.54)
(name "SD7/D23" (effects (font (size 1.27 1.27))))
(number "82" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -2.54 -106.68 0) (length 2.54)
(name "SD4/D20" (effects (font (size 1.27 1.27))))
(number "83" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -106.68 180) (length 2.54)
(name "SD6/D22" (effects (font (size 1.27 1.27))))
(number "84" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -109.22 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "85" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -109.22 180) (length 2.54)
(name "SD5/D21" (effects (font (size 1.27 1.27))))
(number "86" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -111.76 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "87" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -111.76 180) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "88" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -114.3 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "89" (effects (font (size 1.27 1.27))))
)
(pin input line (at -2.54 -12.7 0) (length 2.54)
(name "~{SLAVE}" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at 27.94 -114.3 180) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "90" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -116.84 0) (length 2.54)
(name "SENSEZ3" (effects (font (size 1.27 1.27))))
(number "91" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -116.84 180) (length 2.54)
(name "7Mhz" (effects (font (size 1.27 1.27))))
(number "92" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -119.38 0) (length 2.54)
(name "DOE" (effects (font (size 1.27 1.27))))
(number "93" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 27.94 -119.38 180) (length 2.54)
(name "~{IORST}" (effects (font (size 1.27 1.27))))
(number "94" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -121.92 0) (length 2.54)
(name "~{BCLR}" (effects (font (size 1.27 1.27))))
(number "95" (effects (font (size 1.27 1.27))))
)
(pin no_connect non_logic (at 27.94 -121.92 180) (length 2.54)
(name "NC" (effects (font (size 1.27 1.27))))
(number "96" (effects (font (size 1.27 1.27))))
)
(pin output line (at -2.54 -124.46 0) (length 2.54)
(name "~{FCS}" (effects (font (size 1.27 1.27))))
(number "97" (effects (font (size 1.27 1.27))))
)
(pin output line (at 27.94 -124.46 180) (length 2.54)
(name "~{DS1}" (effects (font (size 1.27 1.27))))
(number "98" (effects (font (size 1.27 1.27))))
)
(pin power_out line (at -2.54 -127 0) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "99" (effects (font (size 1.27 1.27))))
)
)
)
)

4345
Kicad/bom/ibom.html Normal file

File diff suppressed because one or more lines are too long

3
Kicad/fp-lib-table Normal file
View File

@ -0,0 +1,3 @@
(fp_lib_table
(lib (name "Zorro3")(type "KiCad")(uri "${KIPRJMOD}/Libs/Footprint/Zorro3.pretty")(options "")(descr ""))
)

4
Kicad/sym-lib-table Normal file
View File

@ -0,0 +1,4 @@
(sym_lib_table
(lib (name "Zorro3")(type "KiCad")(uri "${KIPRJMOD}/Libs/Symbol/Zorro3.kicad_sym")(options "")(descr ""))
(lib (name "AS4C32M16SC")(type "KiCad")(uri "${KIPRJMOD}/Libs/Symbol/AS4C32M16SC.kicad_sym")(options "")(descr ""))
)

189
LICENSE.md Normal file
View File

@ -0,0 +1,189 @@
CERN Open Hardware Licence v1.2
Preamble
Through this CERN Open Hardware Licence ("CERN OHL") version 1.2, CERN
wishes to provide a tool to foster collaboration and sharing among
hardware designers. The CERN OHL is copyright CERN. Anyone is welcome
to use the CERN OHL, in unmodified form only, for the distribution of
their own Open Hardware designs. Any other right is reserved. Release
of hardware designs under the CERN OHL does not constitute an
endorsement of the licensor or its designs nor does it imply any
involvement by CERN in the development of such designs.
1. Definitions
In this Licence, the following terms have the following meanings:
“Licence” means this CERN OHL.
“Documentation” means schematic diagrams, designs, circuit or circuit
board layouts, mechanical drawings, flow charts and descriptive text,
and other explanatory material that is explicitly stated as being made
available under the conditions of this Licence. The Documentation may
be in any medium, including but not limited to computer files and
representations on paper, film, or any other media.
“Documentation Location” means a location where the Licensor has
placed Documentation, and which he believes will be publicly
accessible for at least three years from the first communication to
the public or distribution of Documentation.
“Product” means either an entire, or any part of a, device built using
the Documentation or the modified Documentation.
“Licensee” means any natural or legal person exercising rights under
this Licence.
“Licensor” means any natural or legal person that creates or modifies
Documentation and subsequently communicates to the public and/ or
distributes the resulting Documentation under the terms and conditions
of this Licence.
A Licensee may at the same time be a Licensor, and vice versa.
Use of the masculine gender includes the feminine and neuter genders
and is employed solely to facilitate reading.
2. Applicability
2.1. This Licence governs the use, copying, modification,
communication to the public and distribution of the Documentation, and
the manufacture and distribution of Products. By exercising any right
granted under this Licence, the Licensee irrevocably accepts these
terms and conditions.
2.2. This Licence is granted by the Licensor directly to the Licensee,
and shall apply worldwide and without limitation in time. The Licensee
may assign his licence rights or grant sub-licences.
2.3. This Licence does not extend to software, firmware, or code
loaded into programmable devices which may be used in conjunction with
the Documentation, the modified Documentation or with Products, unless
such software, firmware, or code is explicitly expressed to be subject
to this Licence. The use of such software, firmware, or code is
otherwise subject to the applicable licence terms and conditions.
3. Copying, modification, communication to the public and distribution
of the Documentation
3.1. The Licensee shall keep intact all copyright and trademarks
notices, all notices referring to Documentation Location, and all
notices that refer to this Licence and to the disclaimer of warranties
that are included in the Documentation. He shall include a copy
thereof in every copy of the Documentation or, as the case may be,
modified Documentation, that he communicates to the public or
distributes.
3.2. The Licensee may copy, communicate to the public and distribute
verbatim copies of the Documentation, in any medium, subject to the
requirements specified in section 3.1.
3.3. The Licensee may modify the Documentation or any portion thereof
provided that upon modification of the Documentation, the Licensee
shall make the modified Documentation available from a Documentation
Location such that it can be easily located by an original Licensor
once the Licensee communicates to the public or distributes the
modified Documentation under section 3.4, and, where required by
section 4.1, by a recipient of a Product. However, the Licensor shall
not assert his rights under the foregoing proviso unless or until a
Product is distributed.
3.4. The Licensee may communicate to the public and distribute the
modified Documentation (thereby in addition to being a Licensee also
becoming a Licensor), always provided that he shall:
a) comply with section 3.1;
b) cause the modified Documentation to carry prominent notices stating
that the Licensee has modified the Documentation, with the date and
description of the modifications;
c) cause the modified Documentation to carry a new Documentation
Location notice if the original Documentation provided for one;
d) make available the modified Documentation at the same level of
abstraction as that of the Documentation, in the preferred format for
making modifications to it (e.g. the native format of the CAD tool as
applicable), and in the event that format is proprietary, in a format
viewable with a tool licensed under an OSI-approved license if the
proprietary tool can create it; and
e) license the modified Documentation under the terms and conditions
of this Licence or, where applicable, a later version of this Licence
as may be issued by CERN.
3.5. The Licence includes a non-exclusive licence to those patents or
registered designs that are held by, under the control of, or
sub-licensable by the Licensor, to the extent necessary to make use of
the rights granted under this Licence. The scope of this section 3.5
shall be strictly limited to the parts of the Documentation or
modified Documentation created by the Licensor.
4. Manufacture and distribution of Products
4.1. The Licensee may manufacture or distribute Products always
provided that, where such manufacture or distribution requires a
licence under this Licence the Licensee provides to each recipient of
such Products an easy means of accessing a copy of the Documentation
or modified Documentation, as applicable, as set out in section 3.
4.2. The Licensee is invited to inform any Licensor who has indicated
his wish to receive this information about the type, quantity and
dates of production of Products the Licensee has (had) manufactured
5. Warranty and liability
5.1. DISCLAIMER The Documentation and any modified Documentation are
provided "as is" and any express or implied warranties, including, but
not limited to, implied warranties of merchantability, of satisfactory
quality, non-infringement of third party rights, and fitness for a
particular purpose or use are disclaimed in respect of the
Documentation, the modified Documentation or any Product. The Licensor
makes no representation that the Documentation, modified
Documentation, or any Product, does or will not infringe any patent,
copyright, trade secret or other proprietary right. The entire risk as
to the use, quality, and performance of a Product shall be with the
Licensee and not the Licensor. This disclaimer of warranty is an
essential part of this Licence and a condition for the grant of any
rights granted under this Licence. The Licensee warrants that it does
not act in a consumer capacity.
5.2. LIMITATION OF LIABILITY The Licensor shall have no liability
for direct, indirect, special, incidental, consequential, exemplary,
punitive or other damages of any character including, without
limitation, procurement of substitute goods or services, loss of use,
data or profits, or business interruption, however caused and on any
theory of contract, warranty, tort (including negligence), product
liability or otherwise, arising in any way in relation to the
Documentation, modified Documentation and/or the use, manufacture or
distribution of a Product, even if advised of the possibility of such
damages, and the Licensee shall hold the Licensor(s) free and harmless
from any liability, costs, damages, fees and expenses, including
claims by third parties, in relation to such use.
6. General
6.1. Except for the rights explicitly granted hereunder, this Licence
does not imply or represent any transfer or assignment of intellectual
property rights to the Licensee.
6.2. The Licensee shall not use or make reference to any of the names
(including acronyms and abbreviations), images, or logos under which
the Licensor is known, save in so far as required to comply with
section 3. Any such permitted use or reference shall be factual and
shall in no event suggest any kind of endorsement by the Licensor or
its personnel of the modified Documentation or any Product, or any
kind of implication by the Licensor or its personnel in the
preparation of the modified Documentation or Product.
6.3. CERN may publish updated versions of this Licence which retain
the same general provisions as this version, but differ in detail so
far this is required and reasonable. New versions will be published
with a unique version number.
6.4. This Licence shall terminate with immediate effect, upon written
notice and without involvement of a court if the Licensee fails to
comply with any of its terms and conditions, or if the Licensee
initiates legal action against Licensor in relation to this
Licence. Section 5 shall continue to apply.

30
README.md Normal file
View File

@ -0,0 +1,30 @@
# GottaGoFastRAMZ3 Fast RAM
This is an 256MB Autoconfig Fast RAM for the Amiga 3000/4000
![PCB](Docs/PCB.png?raw=True)
## Table of contents
1. [Status](#status)
1. [Features](#features)
1. [PCB Ordering](#ordering-pcbs)
4. [Jumpers](#jumpers)
7. [Bill of materials](#bill-of-materials)
## Status
## Features
## PCB Ordering
## Jumpers
### Bill of materials
|Component|Location|QTY|Link|
|---------|--------|---|------|
|||||

6
RTL/.gitignore vendored Normal file
View File

@ -0,0 +1,6 @@
_xmsgs
tmp/
*.log
*.err
*.prj
*.jed

85
RTL/GottaGoFaZt3r.ucf Normal file
View File

@ -0,0 +1,85 @@
NET "A<2>" LOC = "P19" ;
NET "A<3>" LOC = "P22" ;
NET "A<4>" LOC = "P24" ;
NET "A<5>" LOC = "P25" ;
NET "A<6>" LOC = "P23" ;
NET "A<7>" LOC = "P20" ;
NET "A<10>" LOC = "P12" ;
NET "A<11>" LOC = "P11" ;
NET "A<12>" LOC = "P10" ;
NET "A<13>" LOC = "P9" ;
NET "A<14>" LOC = "P8" ;
NET "A<15>" LOC = "P7" ;
NET "A<16>" LOC = "P99" ;
NET "A<17>" LOC = "P97" ;
NET "A<18>" LOC = "P96" ;
NET "A<19>" LOC = "P95" ;
NET "A<20>" LOC = "P94" ;
NET "A<21>" LOC = "P93" ;
NET "A<22>" LOC = "P92" ;
NET "A<23>" LOC = "P91" ;
NET "A<24>" LOC = "P76" ;
NET "A<25>" LOC = "P77" ;
NET "A<26>" LOC = "P78" ;
NET "A<27>" LOC = "P79" ;
NET "AD<28>" LOC = "P80" ;
NET "AD<29>" LOC = "P81" ;
NET "AD<30>" LOC = "P82" ;
NET "AD<31>" LOC = "P85" ;
NET "A<8>" LOC = "P14" ;
NET "A<9>" LOC = "P13" ;
NET "BA<0>" LOC = "P58" ;
NET "BA<1>" LOC = "P55" ;
NET "BERR_n" LOC = "P6" ;
NET "BUFDIR" LOC = "P1" ;
NET "BUFOE_n" LOC = "P15" ;
NET "CAS_n" LOC = "P65" ;
NET "CFGIN_n" LOC = "P28" ;
NET "CFGOUT_n" LOC = "P30" ;
NET "CKE" LOC = "P61" ;
NET "CLK" LOC = "P27" ;
NET "CLK" BUFG = "CLK" ;
NET "CS<0>" LOC = "P35" ;
NET "CS<1>" LOC = "P60" ;
NET "DOE" LOC = "P74" ;
NET "DQM<0>" LOC = "P68" ;
NET "DQM<1>" LOC = "P66" ;
NET "DQM<2>" LOC = "P36" ;
NET "DQM<3>" LOC = "P37" ;
NET "DS<0>" LOC = "P2" ;
NET "DS<1>" LOC = "P70" ;
NET "DS<2>" LOC = "P87" ;
NET "DS<3>" LOC = "P86" ;
NET "DTACK_n" LOC = "P90" ;
NET "E" LOC = "P3" ;
NET "FC<0>" LOC = "P18" ;
NET "FC<1>" LOC = "P17" ;
NET "FC<2>" LOC = "P16" ;
NET "FCS_n" LOC = "P71" ;
NET "MA<0>" LOC = "P50" ;
NET "MA<1>" LOC = "P46" ;
NET "MA<10>" LOC = "P53" ;
NET "MA<11>" LOC = "P56" ;
NET "MA<12>" LOC = "P59" ;
NET "MA<2>" LOC = "P42" ;
NET "MA<3>" LOC = "P40" ;
NET "MA<4>" LOC = "P39" ;
NET "MA<5>" LOC = "P41" ;
NET "MA<6>" LOC = "P43" ;
NET "MA<7>" LOC = "P49" ;
NET "MA<8>" LOC = "P52" ;
NET "MA<9>" LOC = "P54" ;
NET "MEMCLK" LOC = "P64" ;
NET "MTACK_n" LOC = "P4" ;
NET "MTCR_n" LOC = "P29" ;
NET "RAS_n" LOC = "P63" ;
NET "READ" LOC = "P89" ;
NET "RST_n" LOC = "P72" ;
NET "SENSEZ3" LOC = "P73" ;
NET "SLAVE_n" LOC = "P32" ;
NET "TP1" LOC = "P34" ;
NET "TP2" LOC = "P33" ;
NET "WE_n" LOC = "P67" ;
NET "CLK" TNM_NET = CLK;
TIMESPEC TS_CLK = PERIOD "CLK" 66 MHz HIGH 50%;

57
RTL/Makefile Normal file
View File

@ -0,0 +1,57 @@
PROJECT=GottaGoFaZt3r
PART=XC95144XL-10-TQ100
WORKDIR=tmp
#SERIAL=$(shell git rev-parse --short=8 HEAD)
# Serial numbers currently causing issues with boards.library
SERIAL=0
PRODID=72
DEFINES=makedefines autoconfig SERIAL=32'h${SERIAL} PRODID=${PRODID}
CABLE=usb21
CPLDFITFLAGS=-loc on -slew fast -init low -terminate keeper -unused float -power std -optimize speed -keepio
.PHONY: all clean fit
all: $(PROJECT).jed
$(PROJECT).prj: *.v
-$(shell rm -f $@)
-$(foreach source,$^,$(shell echo verilog work $(source) >> $@))
$(WORKDIR)/$(PROJECT).ngc: *.v $(PROJECT).prj
-@mkdir $(WORKDIR)
@sed -r "s#^(-ofn).*#\1 ..\/$@#g;s#^(-ifn).*#\1 ../$(PROJECT).prj#g;s#^(-define).*#\1 {$(DEFINES)}#g" template.xst > $@.xst
cd $(WORKDIR) && xst -ifn ../$@.xst -ofn $(PROJECT)-xst.log
$(WORKDIR)/%.ngd: $(WORKDIR)/%.ngc $(PROJECT).ucf
cd $(WORKDIR) && ngdbuild -p $(PART) -uc ../$(PROJECT).ucf ../$< ../$@
$(WORKDIR)/%.vm6: $(WORKDIR)/%.ngd $(PROJECT).ucf
cd $(WORKDIR) && cpldfit $(CPLDFITFLAGS) -p $(PART) ../$< | egrep -v "^CS: block|^$$"
%.jed: $(WORKDIR)/%.vm6
hprep6 -i $<
cp $@ ../Binary/
clean:
-rm -rvf tmp
-rm *.jed
-rm *.prj
fit: $(WORKDIR)/$(PROJECT).vm6
$(WORKDIR)/%.tim: $(WORKDIR)/%.vm6
cd $(WORKDIR) && taengine -l ../$@ -f $(PROJECT)
timing: $(WORKDIR)/$(PROJECT).tim
flash: $(PROJECT).jed
@echo "setMode -bs\n"\
"setCable -p $(CABLE)\n"\
"identify\n"\
"assignfile -p 1 -file $<\n"\
"erase -p 1\n"\
"program -p 1\n"\
"verify -p 1\n"\
"exit\n" > tmp/batch
LD_PRELOAD=/opt/Xilinx/usb-driver/libusb-driver.so impact -batch tmp/batch

97
RTL/autoconfig.v Normal file
View File

@ -0,0 +1,97 @@
module Autoconfig (
input match,
output reg [3:0] addr_match,
input [6:0] ADDRL,
input FCS_n,
input CLK,
input READ,
input DS_n,
input CFGIN_n,
input [3:0] DIN,
input RESET_n,
input SENSEZ3,
input [2:0] FC,
output reg CFGOUT_n,
output ram_cycle,
output autoconfig_cycle,
output reg configured,
output reg [3:0] DOUT
);
`ifndef makedefines
`define SERIAL 32'd421
`define PRODID 8'h72
`endif
reg done = 0;
localparam [15:0] mfg_id = 16'h07DB;
localparam [7:0] prod_id = `PRODID;
localparam [31:0] serial = `SERIAL;
reg shutup;
assign validspace = FC[1] ^ FC[0]; // 1 when FC indicates user/supervisor data/program space
reg [1:0] vs;
always @(posedge CLK) begin
vs[1:0] <= {vs[0],validspace};
end
assign autoconfig_cycle = match && !CFGIN_n && CFGOUT_n && vs[1];
// Register Config in/out at end of bus cycle
always @(posedge FCS_n or negedge RESET_n)
begin
if (!RESET_n) begin
CFGOUT_n <= 1'b1;
end else begin
CFGOUT_n <= !configured && !shutup;
end
end
always @(posedge CLK or negedge RESET_n)
begin
if (!RESET_n) begin
DOUT <= 4'b0;
configured <= 1'b0;
shutup <= 1'b0;
addr_match <= 4'b1111;
end else if (autoconfig_cycle && !FCS_n) begin
if (READ) begin
case ({ADDRL[5:0],ADDRL[6]})
7'h00: DOUT <= 4'b1010; // Type: Zorro III Memory
7'h01: DOUT <= 4'b0100; // 256 MB
7'h02: DOUT <= ~prod_id[7:4]; // Product number
7'h03: DOUT <= ~prod_id[3:0]; // Product number
7'h04: DOUT <= ~4'b1011; // Memory device, Size Extension, Zorro III
7'h05: DOUT <= ~4'b0001; // Automatically sized by OS
7'h08: DOUT <= ~mfg_id[15:12]; // Manufacturer ID
7'h09: DOUT <= ~mfg_id[11:8]; // Manufacturer ID
7'h0A: DOUT <= ~mfg_id[7:4]; // Manufacturer ID
7'h0B: DOUT <= ~mfg_id[3:0]; // Manufacturer ID
7'h0C: DOUT <= ~serial[31:28]; // Serial number
7'h0D: DOUT <= ~serial[27:24]; // Serial number
7'h0E: DOUT <= ~serial[23:20]; // Serial number
7'h0F: DOUT <= ~serial[19:16]; // Serial number
7'h10: DOUT <= ~serial[15:12]; // Serial number
7'h11: DOUT <= ~serial[11:8]; // Serial number
7'h12: DOUT <= ~serial[7:4]; // Serial number
7'h13: DOUT <= ~serial[3:0]; // Serial number
7'h20: DOUT <= 4'b0;
7'h21: DOUT <= 4'b0;
default: DOUT <= 4'hF;
endcase
end else begin
if (ADDRL[5:0] == 6'h13 && !DS_n) begin
//configured <= 1;
shutup <= 1;
end else if (ADDRL[5:0] == 6'h11 && !DS_n) begin
addr_match <= DIN[3:0];
configured <= 1;
end
end
end
end
assign ram_cycle = (match && !CFGOUT_n && !shutup && vs[1]);
endmodule

309
RTL/sdram.v Normal file
View File

@ -0,0 +1,309 @@
`timescale 1ns / 1ps
module SDRAM(
input [27:2] ADDR,
input DS0,
input DS1,
input DS2,
input DS3,
input DOE,
input FCS_n,
input ram_cycle,
input RESET_n,
input RW_n,
input CLK,
input ECLK,
input configured,
input MTCR_n,
output [1:0] BA,
output [12:0] MADDR,
output CAS_n,
output RAS_n,
output [1:0] CS_n,
output WE_n,
output reg CKE,
output reg [3:0] DQM,
output DTACK_EN
);
localparam tRP = 1;
localparam tRCD = 1;
localparam tRFC = 4;
localparam CAS_LATENCY = 3'd2;
`define initcmd(ARG) \
{ras_n_i, cas_n_i, we_n_i} <= ARG;
`define cmd(ARG) \
{ras_n_r, cas_n_r, we_n_r} <= ARG;
// RAS CAS WE
localparam cmd_nop = 3'b111,
cmd_active = 3'b011,
cmd_read = 3'b101,
cmd_write = 3'b100,
cmd_burst_terminate = 3'b110,
cmd_precharge = 3'b010,
cmd_auto_refresh = 3'b001,
cmd_load_mode_reg = 3'b000;
localparam mode_register = {
3'b0, // M10-12 - Reserved
1'b1, // M9 - No burst mode, Single access
2'b0, // M8-7 - Standard operation
CAS_LATENCY, // M6-4 - CAS Latency
1'b0, // M3 - Burst type
3'b0 // M2-0 - Burst length
};
reg [1:0] cs_n_i;
reg ras_n_i;
reg cas_n_i;
reg we_n_i;
reg [1:0] cs_n_r;
reg ras_n_r;
reg cas_n_r;
reg we_n_r;
reg [12:0] maddr_i;
reg [12:0] maddr_r;
reg [1:0] ba_r;
reg init_done;
reg [6:0] init_state;
reg [3:0] refresh_timer;
reg [1:0] refresh_request;
reg refreshing;
assign MADDR = (init_done) ? maddr_r : maddr_i;
assign BA = ba_r;
assign CS_n = (init_done) ? cs_n_r : cs_n_i;
assign RAS_n = (init_done) ? ras_n_r : ras_n_i;
assign CAS_n = (init_done) ? cas_n_r : cas_n_i;
assign WE_n = (init_done) ? we_n_r : we_n_i;
localparam init_cycle_precharge1 = 0,
init_cycle_refresh1 = init_cycle_precharge1 + tRP,
init_cycle_precharge2 = init_cycle_refresh1 + tRFC,
init_cycle_refresh2 = init_cycle_precharge2 + tRP,
init_cycle_load = init_cycle_refresh2 + tRFC,
init_cycle_done = init_cycle_load + 1;
always @(negedge CLK or negedge RESET_n) begin
if (!RESET_n) begin
init_state <= init_cycle_precharge1;
init_done <= 0;
maddr_i <= 'b0;
cs_n_i <= 2'b00;
end else begin
// Ram Initialization //
if (!init_done && configured) begin
init_state <= init_state + 1;
case (init_state)
// Precharge
init_cycle_precharge1, init_cycle_precharge2:
begin
`initcmd(cmd_precharge)
maddr_i[11:0] <= {2'b01,10'b0};
end
// Autorefresh
init_cycle_refresh1, init_cycle_refresh2:
begin
`initcmd(cmd_auto_refresh)
end
// Load Mode Register
init_cycle_load:
begin
`initcmd(cmd_load_mode_reg)
maddr_i[12:0] <= mode_register;
end
// Init done, ram idle
init_cycle_done:
begin
init_done <= 1;
end
default:
begin
`initcmd(cmd_nop)
cs_n_i <= 2'b00;
end
endcase
end
// End RAM Initialization //
end
end
reg [4:0] ram_state = 0;
reg cycle_type = 0;
localparam ram_cycle_access = 1'b1;
localparam ram_cycle_refresh = 1'b0;
localparam access_cycle_start = 5'b00000,
access_cycle_wait = access_cycle_start+tRCD,
access_cycle_rw = access_cycle_wait+1,
access_cycle_hold = access_cycle_rw+1,
access_cycle_precharge = access_cycle_hold+2,
refresh_cycle_pre = 5'b00000,
refresh_cycle_auto = refresh_cycle_pre+tRP,
refresh_cycle_end = refresh_cycle_auto+tRFC;
assign refreshreset = !refreshing & RESET_n;
always @(posedge ECLK or negedge refreshreset) begin
if (!refreshreset) begin
refresh_timer <= 'h4;
end else begin
if (refresh_timer > 0) begin
refresh_timer <= refresh_timer - 1;
end
end
end
always @(posedge CLK or negedge RESET_n) begin
if (!RESET_n) begin
refresh_request <= 0;
end else begin
refresh_request <= {refresh_request[0], refresh_timer == 0};
end
end
reg [1:0] ram_cycle_sync;
reg dtack;
always @(posedge CLK) begin
ram_cycle_sync[1:0] <= {ram_cycle_sync[0], ram_cycle};
end
always @(posedge CLK or negedge RESET_n)
begin
if (!RESET_n) begin
`cmd(cmd_nop)
maddr_r <= 0;
ba_r <= 2'b0;
CKE <= 0;
dtack <= 0;
refreshing <= 0;
DQM <= 4'b1111;
cs_n_r <= 2'b11;
ram_state <= 0;
end else begin
if (ram_state == 0) begin
CKE <= 1;
dtack <= 0;
DQM <= 4'b1111;
cs_n_r <= 2'b11;
refreshing <= 0;
if (init_done) begin
if (refresh_request[1] == 1) begin
`cmd(cmd_precharge)
maddr_r[10] <= 1; // Precharge all banks
cycle_type <= ram_cycle_refresh;
ram_state[0] <= 1;
cs_n_r <= 2'b00; // Refresh all modules
refreshing <= 1;
end else if (ram_cycle_sync[1] && !FCS_n) begin
`cmd(cmd_active)
cycle_type <= ram_cycle_access;
ram_state[0] <= 1;
maddr_r <= ADDR[23:11];
ba_r <= ADDR[25:24];
cs_n_r[1:0] <= {ADDR[26],~ADDR[26]};
end else begin
cs_n_r <= 2'b11;
`cmd(cmd_nop)
end
end
end else begin
ram_state <= ram_state + 1;
if (cycle_type == ram_cycle_access) begin
case (ram_state)
// Wait
//
// Wait for tRCD and also wait until we see data strobes before committing writes
access_cycle_wait: begin
`cmd(cmd_nop)
if (DS0 && DS1 && DS2 && DS3 && !RW_n || !DOE) begin // ! Is DOE needed here? no need to hold off on reads
ram_state <= access_cycle_wait;
end
end
// Read/Write
//
// Uses A27 as MA9 so that memory is mirrored above 128MB when using 4x32MB chips
// Kickstart will detect the mirror and add 128MB to the free pool rather than 256MB
// This allows for the board to be assembled with 128MB or 256MB without needing separate firmware.
access_cycle_rw: begin
dtack <= 1;
maddr_r[12:0] <= {3'b000,ADDR[27], ADDR[10:2]};
if (!RW_n) begin
`cmd(cmd_write)
DQM[3:0] <= {DS3, DS2, DS1, DS0};
end else begin
`cmd(cmd_read)
// Reads must return a full long regardless of DS (Zorro III Bus Specifications pg 3-3)
DQM[3:0] <= 4'b0000;
end
end
// Hold
//
// Take CKE low until the end of the Zorro cycle in order to hold the read output
// For write cycles, just keep NOP'ing
access_cycle_hold: begin
dtack <= 0;
`cmd(cmd_nop)
if (!FCS_n && (!DS0 || !DS1 || !DS2 || !DS3)) begin
//if (RW_n)
CKE <= 0;
ram_state <= access_cycle_hold;
end else begin
CKE <= 1;
if (!FCS_n)
ram_state <= access_cycle_wait;
end
end
// Precharge all banks
access_cycle_precharge: begin
`cmd(cmd_precharge)
maddr_r[10] <= 1'b1;
ram_state <= 0;
end
default:
`cmd(cmd_nop)
endcase
end else begin
case (ram_state)
refresh_cycle_auto:
`cmd(cmd_auto_refresh)
refresh_cycle_end: begin
ram_state <= 0;
end
default:
`cmd(cmd_nop)
endcase
end
end
end
end
reg [3:0] dtack_delayed;
always @(posedge CLK or negedge RESET_n) begin
if (!RESET_n)
dtack_delayed[3:0] <= 'b0;
else
dtack_delayed[3:0] <= {dtack_delayed[2:0], dtack};
end
// Really bad hack to pulse dtack for 3xClock period during bursts... will be removed
assign DTACK_EN = dtack_delayed[1] || dtack_delayed[2] || dtack_delayed[3];
endmodule

31
RTL/template.xst Normal file
View File

@ -0,0 +1,31 @@
set -tmpdir "."
set -xsthdpdir "."
run
-ifn IFN
-ifmt mixed
-ofn OFN
-ofmt NGC
-p xc9500xl
-top GottaGoFaZt3r
-opt_mode Area
-opt_level 2
-iuc no
-keep_hierarchy No
-netlist_hierarchy As_Optimized
-rtlview No
-hierarchy_separator /
-bus_delimiter <>
-case Maintain
-verilog2001 YES
-fsm_extract YES
-fsm_encoding auto
-safe_implementation No
-mux_extract NO
-resource_sharing YES
-iobuf YES
-pld_mp YES
-pld_xp YES
-pld_ce YES
-wysiwyg NO
-equivalent_register_removal YES
-define {}

165
RTL/top.v Normal file
View File

@ -0,0 +1,165 @@
module GottaGoFaZt3r(
input [27:2] A,
inout [31:28] AD,
input BERR_n,
input CFGIN_n,
input CLK,
input DOE,
input [3:0] DS,
input E,
input [2:0] FC,
input FCS_n,
input MTCR_n,
input READ,
input RST_n,
input SENSEZ3,
output TP1,
output TP2,
output CFGOUT_n,
output DTACK_n,
output SLAVE_n,
output MTACK_n,
// RAM
output BUFDIR,
output BUFOE_n,
output CAS_n,
output CKE,
output [1:0] CS,
output [1:0] BA,
output [3:0] DQM,
output [12:0] MA,
output MEMCLK,
output RAS_n,
output WE_n
);
assign MEMCLK = ~CLK;
// Synchro
reg [1:0] DS0_sync;
reg [1:0] DS1_sync;
reg [1:0] DS2_sync;
reg [1:0] DS3_sync;
reg [1:0] FCS_n_sync;
always @(posedge CLK or negedge RST_n)
begin
if (!RST_n) begin
DS0_sync[1:0] <= 2'b11;
DS1_sync[1:0] <= 2'b11;
DS2_sync[1:0] <= 2'b11;
DS3_sync[1:0] <= 2'b11;
FCS_n_sync[1:0] <= 2'b11;
end else begin
DS0_sync[1:0] <= {DS0_sync[0], DS[0]};
DS1_sync[1:0] <= {DS1_sync[0], DS[1]};
DS2_sync[1:0] <= {DS2_sync[0], DS[2]};
DS3_sync[1:0] <= {DS3_sync[0], DS[3]};
FCS_n_sync[1:0] <= {FCS_n_sync[0], FCS_n};
end
end
reg [27:8] ADDR;
reg match;
wire [3:0] addr_match;
wire autoconfig_cfgout;
wire configured;
always @(negedge FCS_n or negedge RST_n)
begin
if (!RST_n) begin
ADDR <= 'b0;
match <= 1'b0;
end else begin
ADDR[27:8] <= A[27:8];
if (AD[31:28] == addr_match) begin
match <= (configured || A[27:24] == 4'hF);
end else begin
match <= 0;
end
end
end
// Autoconf
wire [3:0] autoconfig_dout;
wire autoconfig_cycle;
//wire autoconfig_cfgout;
Autoconfig AUTOCONFIG (
.match (match),
.addr_match (addr_match),
.ADDRL ({ADDR[8], A[7:2]}),
.FCS_n (FCS_n_sync[1]),
.CLK (CLK),
.READ (READ),
.DS_n (DS3_sync[1]),
.CFGIN_n (CFGIN_n),
.DIN (AD[31:28]),
.FC (FC[2:0]),
.RESET_n (RST_n),
.CFGOUT_n (autoconfig_cfgout),
.ram_cycle (ram_cycle),
.autoconfig_cycle (autoconfig_cycle),
.configured (configured),
.DOUT (autoconfig_dout),
.SENSEZ3 (SENSEZ3)
);
SDRAM SDRAM (
.ADDR ({ADDR[27:8], A[7:2]}),
.DS0 (DS0_sync[1]),
.DS1 (DS1_sync[1]),
.DS2 (DS2_sync[1]),
.DS3 (DS3_sync[1]),
.DOE (DOE),
.FCS_n (FCS_n_sync[1]),
.ram_cycle (ram_cycle),
.RESET_n (RST_n),
.RW_n (READ),
.CLK (CLK),
.ECLK (E),
.BA (BA),
.MADDR (MA),
.CAS_n (CAS_n),
.RAS_n (RAS_n),
.CS_n (CS),
.WE_n (WE_n),
.CKE (CKE),
.DQM (DQM),
.DTACK_EN (ram_dtack),
.MTCR_n (MTCR_n),
.configured (configured)
);
FDCP FDCP_inst (
.CLR (FCS_n),
.PRE (1'b0),
.D (1'b1),
.C (ram_dtack),
.Q (dtack_latch)
);
reg bursting;
always @(negedge MTCR_n or posedge FCS_n) begin
if (FCS_n)
bursting <= 0;
else
bursting <= 1;
end
assign AD[31:28] = (autoconfig_cycle && BERR_n && DOE && READ) ? autoconfig_dout[3:0] : 4'bZ;
assign BUFOE_n = !ram_cycle || !DOE || !BERR_n;
assign BUFDIR = READ;
assign CFGOUT_n = (SENSEZ3) ? autoconfig_cfgout : CFGIN_n;
assign SLAVE_n = !(!FCS_n && (autoconfig_cycle || ram_cycle));
// Not the final equation, just testing different ideas to get bursts working well
assign DTACK_n = (!SLAVE_n) ? !(dtack_latch && !bursting || ram_dtack || autoconfig_cycle) : 1'bZ;
assign MTACK_n = (!SLAVE_n && ram_cycle) ? 1'b0 : 1'bZ;
endmodule